劉致為特聘教授的著作列表 - Publication List of Chee Wee Liu

Publication List of 劉致為 Chee Wee Liu

Journal articles & book chapters:

  1. M. Yu. Melnikov, A. A. Shashkin, V. T. Dolgopolov, S.-H. Huang, C. W. Liu, Amy Y. X. Zhu, and S. V. Kravchenko, “Metallic state in a strongly interacting spinless two-valley electron system in two dimensions,” Phys. Rev. B, Vol. 101, No. 4, pp. 045302, Jan. 2020
  2. Hsiao-Hsuan Liu, Yu-Shiang Huang, Fang-Liang Lu, Hung-Yu Ye, and C. W. Liu, “Different Infrared Responses from the Stacked Channels and Parasitic Channel of Stacked GeSn Channel Transistors,” IEEE Electron Device Letters, vol. 41, no. 1, pp. 147-150, Jan. 2020
  3. Chia-Che Chung, Hung-Yu Ye, H. H. Lin, W. K. Wan, M.-T. Yang, and C. W. Liu, “Self-Heating Induced Interchannel Vt Difference of Vertically Stacked Si Nanosheet Gate-All-Around MOSFETs,” IEEE Electron Device Letters, vol. 40, no. 12, pp. 1913-1916, Dec. 2019
  4. Ya-Jui Tsou, Jih-Chao Chiu, Huan-Chi Shih, and C. W. Liu, “Write Margin Analysis of Spin-Orbit Torque Switching Using Field-Assisted Method,” IEEE Journal on Exploratory Solid-State Computational Devices and Circuits, Nov. 2019
  5. Emmanuele Galluccio, Nikolay Petkov, Gioele Mirabelli, Jessica Doherty, Shih-Ya Lin, Fang-Liang Lu, C. W. Liu, Justin D. Holmes, and Ray Duffy, “Formation and characterization of Ni, Pt, and Ti stanogermanide contacts on Ge0.92Sn0.08,” Thin Solid Films, Vol. 690, 137568, Nov. 2019
  6. An-Hung Tai, Chia-Chun Yen, Tsang-Long Chen, Cheng-Hsu Chou, and C. W. Liu, “Mobility Enhancement of Back-Channel-Etch Amorphous InGaZnO TFT by Double Layers with Quantum Well Structures,” IEEE Transactions on Electron Devices, Vol. 66, No. 10, pp. 4188-4192, Oct. 2019
  7. Chih-Hsiung Huang, Chung-En Tsai, Yu-Rui Chen, and C. W. Liu, “Effects of Annealing Temperature and Nitrogen Content on Effective Work Function of Tungsten Nitride,” IEEE Electron Device Letters, Vol. 40, No. 8, pp.1237-1240, Aug. 2019
  8. C.-C. Chung, H. H. Lin, W. K. Wan, M.-T. Yang, and C. W. Liu, “Thermal SPICE Modeling of FinFET and BEOL Considering Frequency-Dependent Transient Response, 3-D Heat Flow, Boundary/Alloy Scattering, and Interfacial Thermal Resistance,” IEEE Transactions on Electron Devices, Vol. 66, No. 6, pp. 2710-2714, Jun. 2019
  9. M. Yu. Melnikov, A. A. Shashkin, V. T. Dolgopolov, Amy Y. X. Zhu, S. V. Kravchenko, S.-H. Huang, and C. W. Liu, “Quantum phase transition in ultrahigh mobility SiGe/Si/SiGe two-dimensional electron system,” Phys. Rev. B, Vol. 99, No. 8, 081106(R), Feb. 2019
  10. Pin-Shiang Chen and C. W. Liu, “Theoretical Calculation of Ferroelectric Hf1-xZrxO2 by First-Principle Molecular Dynamic Simulation,” Mater. Res., Express 6, 095045, 2019
  11. Hung-Yu Ye, Chia-Che Chung and C. W. Liu, “Mobility Calculation of Ge Nanowire Junctionless and Inversion-Mode Nanowire NFETs With Size and Shape Dependence,” IEEE Transactions on Electron Devices, vol. 65, no. 12, pp. 5295-5300, Dec. 2018
  12. Yu-Shiang Huang, Fang-Liang Lu , Ya-Jui Tsou, Hung-Yu Ye, Shih-Ya Lin, Wen-Hung Huang, and C. W. Liu, “Vertically Stacked Strained 3-GeSn-Nanosheet pGAAFETs on Si Using GeSn/Ge CVD Epitaxial Growth and the Optimum Selective Channel Release Process,” IEEE Electron Device Letters, Vol. 39, No. 9, pp.1274-1277, Sept. 2018
  13. Chung-Yi Lin, Hung-Yu Ye, Fang-Liang Lu, H. S. Lan, and C. W. Liu, “Biaxial strain effects on photoluminescence of Ge/strained GeSn/Ge quantum well,” Opt. Mater. Express, 8, 2795-2802, Sept. 2018
  14. Chung-En Tsai, Fang-Liang Lu, Pin-Shiang Chen, and C. W. Liu, “Boron-doping induced Sn loss in GeSn alloys grown by chemical vapor deposition,” Thin Solid Films, Vol. 660, pp. 263-266, Aug. 2018
  15. E. Bussmann, John King Gamble, J. C. Koepke, D. Laroche, S. H. Huang, Y. Chuang, J.-Y. Li, C. W. Liu, B. S. Swartzentruber, M. P. Lilly, M. S. Carroll, and T.-M. Lu, “Atomic-layer doping of SiGe heterostructures for atomic-precision donor devices,” Physical Review Materials, 2, 066004, Jun. 2018
  16. V. T. Dolgopolov, M. Yu. Melnikov, A. A. Shashkin, S.-H. Huang, C. W. Liu, and S. V. Kravchenko, “Fractional Quantum Hall Effect in SiGe/Si/SiGe Quantum Wells in Weak Quantizing Magnetic Fields,” JETP Letters, Vol. 107, No. 12, pp. 794-797, Jun. 2018
  17. Fang-Liang Lu, Chung-En Tsai, I-Hsieh Wong, Chun-Ti Lu, and C. W. Liu, “Dopant Recovery in Epitaxial Ge on SOI by Laser Annealing With Device Applications,” IEEE Transactions on Electron Devices, Vol. 65, No. 7, pp. 2925-2931, May 2018
  18. M. Yu. Melnikov, V. T. Dolgopolov, A. A. Shashkin, S.-H. Huang, C. W. Liu, and S. V. Kravchenko, “Unusual anisotropy of inplane field magnetoresistance in ultra-high mobility SiGe/Si/ SiGe quantum wells,” Journal of Applied Physics, 122, 224301, Dec. 2017
  19. M. Yu. Melnikov, A. A. Shashkin, V. T. Dolgopolov, S.-H. Huang, C. W. Liu, and S. V. Kravchenko, “Indication of band flattening at the Fermi level in a strongly correlated electron system,” Scientific Reports, 7, 14539, Nov. 2017
  20. T. M. Lu, C. T. Harris, S.-H. Huang, Y. Chuang, J.-Y. Li, and C. W. Liu, “Effective g factor of low-density two-dimensional holes in a Ge quantum well,” Appl. Phys. Lett., Vol. 111, 102108, Sept. 2017
  21. Chun-Ti Lu, Fang-Liang Lu, Chung-En Tsai, Wen-Hung Huang, and C. W. Liu, “Process simulation of pulsed laser annealing on epitaxial Ge on Si,” ECS J. Solid State Sci. Tech., Vol. 6, pp. 495-498, Jun. 2017
  22. H.-S. Lan, S. T. Chang, and C. W. Liu, “Semiconductor, topological semimetal, indirect semimetal, and topological Dirac semimetal phases of Ge1-xSnx alloys,” Phys. Rev. B, Rapid Communication, 95, 201201(R), May 2017
  23. Yu-Shiang Huang, Ya-Jui Tsou, Chih-Hsiung Huang, Chih-Hao Huang, Huang-Siang Lan, C. W. Liu, Yi-Chiau Huang, Hua Chung, Chorng-Ping Chang, Schubert S. Chu, and Satheesh Kuppurao, “High-Mobility CVD-Grown Ge/Strained Ge0.9Sn0.1/Ge Quantum-Well pMOSFETs on Si by Optimizing Ge Cap Thickness,” IEEE Trans. on Electron Devices, Vol. 64, No. 6, pp.2498-2504, May 2017
  24. T. M. Lu, L. A. Tracy, D. Laroche, S.-H. Huang, Y. Chuang, Y.-H. Su, J.-Y. Li, and C. W. Liu, “Density-controlled quantum Hall ferromagnetic transition in a two-dimensional hole system,” Scientific Reports, 7, 2468, May 2017
  25. Chih-Hsiung Huang, Yu-Shiang Huang, Da-Zhi Chang, Tzo-Tao Lin, and C. W. Liu, “Interface trap density reduction due to AlGeO interfacial layer formation by Al capping on Al2O3/GeOx/Ge stack,” IEEE Transactions on Electron Devices, Vol. 64, No. 4, pp. 1412-1417, Apr. 2017
  26. H.-S. Lan and C. W. Liu, “Band alignments at strained Ge1-xSnx/relaxed Ge1-ySny heterointerfaces,” J. Phys. D: Appl. Phys., 50, 13LT02, Feb. 2017
  27. Pin-Shiang Chen, Sheng-Ting Fan, Huang-Siang Lan, C. W. Liu, “Band calculation of lonsdaleite Ge,” J. Phys. D: Appl. Phys., 50, 015107, 2017
  28. Hung-Yu Ye, Huang-Siang Lan, and C. W. Liu, “Electron Mobility in Junctionless Ge Nanowire NFETs,” IEEE Transactions on Electron Devices, Vol. 63, No.11, pp.4191, Oct. 2016
  29. S.-T. Fan, J.-Y. Yan, D.-C. Lai, C. W. Liu, “The hysteresis-free negative capacitance field effect transistors using non-linear poly capacitance,” Solid-State Electronics, Volume 122, Pages 13-17, Aug. 2016
  30. Chung-Yi Lin, Chih-Hsiung Huang, Shih-Hsien Huang, Chih-Chiang Chang, C. W. Liu, Yi-Chiau Huan , Hua Chung, Chorng-Ping Chang, “Photoluminescence and electroluminescence from Ge⁄strained GeSn⁄Ge quantum wells,” Appl. Phys. Lett., Vol. 109, 091103, Aug. 2016
  31. X. Zhu, T.-H. Cheng, and C. W. Liu, “Ga Content and Thickness Inhomogeneity Effects on Cu(In, Ga)Se2 Solar Modules,” Electronic Materials Letters, Vol. 12, No. 4, pp 506–511, Jul. 2016
  32. D. Laroche, S.-H. Huang, Y. Chuang, J.-Y. Li, C. W. Liu and T. M. Lu, “Magneto-transport analysis of an ultra-low-density two-dimensional hole gas in an undoped strained Ge/SiGe heterostructure,” Appl. Phys. Lett., Vol. 108, 233504, Jun. 2016
  33. Chieh Lo, Zheng-Lun Feng, Wei-Lun Huang, C. W. Liu, T. -L. Chen, and C. H. Chou, “Abnormal Threshold Voltage Shift of Amorphous InGaZnO Thin-film Transistors due to Mobile Sodium,” IEEE J. of Electron Devices Society, Vol. 4, No. 5,, pp. 353-357, May 2016
  34. Chun-Ti Lu, Yu-Shiang Huang and C. W. Liu, “Passivation of Al2O3 / TiO2 on monocrystalline Si with relatively low reflectance,” J. Phys. D: Appl. Phys., 49, 245105, May 2016
  35. Shi Luo, Carissa Eisler, Tsun-Hsin Wong, Hai Xiao, Chuan-En Lin, Tsung-Ta Wu, Chang-Hong Shen, Jia-Min Shieh, Chuang-Chuang Tsai, C. W. Liu, Harry A. Atwater, William A. Goddard III, Jiun-Haw Lee, Julia R. Greer, “Suppression of surface recombination in CuInSe2 (CIS) thin films via Trioctylphosphine Sulfide (TOP:S) surface passivation,” Acta Materialia, Volume 106, Pages 171–181, Mar. 2016
  36. T. M. Lu, D. Laroche, S.-H. Huang, Y. Chuang, J.-Y. Li, and C. W. Liu, “High-mobility capacitively-induced two-dimensional electrons in a lateral superlattice potential,” Scientific Reports, 6, 20967, Feb. 2016
  37. M. Yu. Melnikov, A. A. Shashkin, V. T. Dolgopolov, S.-H. Huang, C. W. Liu, and S. V. Kravchenko, “Ultra-high mobility two-dimensional electron gas in a SiGe/Si/SiGe quantum well,” Appl. Phys. Lett., Vol. 106, 092102, 2015
  38. D. Laroche, S.-H. Huang, E. Nielsen, C. W. Liu, J.-Y. Li, and T. M. Lu, “Magneto-transport of an electron bilayer system in an undoped Si/SiGe double-quantum-well heterostructure,” Appl. Phys. Lett., Vol. 106, 143503, 2015
  39. I-Hsieh Wong, Yen-Ting Chen, Shih-Hsien Huang, Wen-Hsien Tu, Yu-Sheng Chen and C. W. Liu, “Junctionless Gate-all-around PFETs using in-situ Boron Doped Ge channel on Si,” IEEE Transaction on Nanotechnology, Vol. 14, No. 5, pp. 878-882, 2015
  40. Jhih-Yang Yan, Sun-Rong Jan, Yi-Chung Huang, Huang-Siang Lan, Y.-H. Huang, Bigchoug Hung, K.-T. Chan, Michael Huang, M.-T. Yang and C. W. Liu, “Asymmetric Keep-out Zone of Through-Silicon Via using 28nm Technology Node,” IEEE Electron Device Letter, Vol. 36, No. 9, pp. 938-940, 2015
  41. Sun-Rong Jan, Tien-Pei Chou, Che-Yu Yeh, C. W. Liu, Robert V. Goldstein, Valentin A. Gorodtsov, and Pavel S. Shushpannikov, ““Comments and Corrections Reply to “Comment on ‘A Compact Analytic Model of the Strain Field Induced by Through Silicon Vias”,” IEEE Transactions on Electron Devices, Vol. 62, No. 9, pp. 3106, 2015
  42. D. Laroche, S.-H. Huang, E. Nielsen, Y. Chuang, J.-Y. Li, C. W. Liu, and T. M. Lu, “Scattering mechanisms in shallow undoped Si/SiGe quantum wells,” AIP Advances, 5, 107106, 2015
  43. S.-H. Huang, F.-L. Lu, W.-L. Huang, C.-H. Huang, and C. W. Liu, “The ∼3×1020 cm−3 electron concentration and low specific contact resistivity of phosphorus-doped Ge on Si by in-situ chemical vapor deposition doping and laser annealing,” IEEE Electron Device Letter, Vol. 36, No. 11, pp. 1114-1117, 2015
  44. Yen-Yu Chen, C.-C. Yen, T.-Y. Chang, C. W. Liu, “Enhance light emission from Ge by GeO2 micro hemispheres,” Solid-State Electronics, Volume 110, Pages 83-85, 2015
  45. Hung-Chih Chang, Cheng-Ming Lin, Chih-Hsiung Huang, and C. W. Liu, “Hysteresis Reduction by Fluorine Incorporation into High Permittivity Tetragonal ZrO2 on Ge,” Appl. Phys. Lett, Vol. 104, 032902, 2014
  46. H. -S. Lan and C. W. Liu, “Ballistic electron transport calculation of strained germanium-tin fin field-effect transistors,” Appl. Phys. Lett., Vol. 104, 192101, 2014
  47. I-Hsieh Wong, Yen-Ting Chen, Jhih-Yang Yan, Huang-Jhih Ciou, Yu-Sheng Chen and C. W. Liu, “Fabrication and Low Temperature Characterization of Ge (110) and (100) p-MOSFETs,” IEEE Transactions on Electron Devices, Vol. 61, No. 6, pp. 2215, 2014
  48. Wen-Hsien Tu, Shu-Han Hsu, and C. W. Liu, “The PN Junctions of Epitaxial Germanium on Silicon by Solid Phase Doping” IEEE Trans. Electron Device,” IEEE Trans. Electron Device, Vol. 61, No. 7, pp. 2595-2598, 2014
  49. M. Yu. Melnikov, A. A. Shashkin, V. T. Dolgopolov, S. V. Kravchenko, S.-H. Huang, C. W. Liu, “Effective Electron Mass in High_Mobility SiGe/Si/SiGe Quantum Wells,” JETP Letters, Vol. 100, No. 2, pp. 114-119, 2014
  50. Shi Luo, Jiun-Haw Lee, C. W. Liu, Jia-Min Shieh, Chang-Hong Shen, Tsung-Ta Wu ,D. Jang and Julia R. Greer, “Strength, stiffness,and microstructure of Cu(In,Ga)Se2 thin films deposited viasputtering and co-evaporation,” Appl. Phys. Lett., Vol. 105, 011907, 2014
  51. C.W. Liu, M. Östling, and J.B. Hannon, “New Materials for Post-Si Computing,” MRS Bulletin, Vol. 39, No. 8, pp. 658-662, 2014
  52. Xiaobo Zhu and C. W. Liu, “Fabrication and characterization of Cu(In,Ga)Se2 p-channel thin film transistors,” Appl. Phys. Lett., Vol. 105, 143502, 2014
  53. Tsang-Long Chen, Kuan-Chang Huang, Hsuan-Yi. Lin, C. H. Chou, H. H. Lin, and C. W. Liu, “Enhanced Current Drive of Double Gate α-IGZO Thin Film Transistors,” IEEE Electron Device Letters, Vol. 34, NO. 3, pp. 417-419, 2013
  54. Yen-Yu Chen, H.-C. Chang, Y.-H. Chi, C.-H. Huang, and C. W. Liu, “GeO2 passivation for low surface recombination velocity on Ge surface,” IEEE Electron Device Letters, Vol. 34, NO. 3, pp. 444-446, 2013
  55. Y. -T. Chen, H. -C. Chang, I. -H. Wong, H. -C. Sun, H. -J. Ciou, W. -T. Yeh, S. -J. Lo, and C. W. Liu, “Radiation Impact of EUV on High Performance Ge MOSFETs,” IEEE Electron Device Letters, vol. 34, no. 10, pp. 1220–1222, 2013
  56. Hsin-Ping Wang , Tzu-Yin Lin , Chia-Wei Hsu ,Meng-Lin Tsai , Chih-Hsiung Huang , Wan-Rou Wei ,Ming-Yi Huang , Yi-Jiunn Chien , Po-Chuan Yang , C. W. Liu , Li-Jen Chou , and Jr-Hau He, “Realizing High-Efficiency Omnidirectional N-Type Si Solar Cells Via The Hierarchical Architecture Concept With Radial Junctions,” ACS Nano, 7 (10), pp. 9325–9335, 2013
  57. Wan-Rou Wei , Meng-Lin Tsai , Shu-Te Ho , Shih-Hsiang Tai , Cherng-Rong Ho , Shin-Hung Tsai , C. W. Liu, Ren-Jei Chung , and Jr-Hau He, “Above-11%-Efficiency Organic–Inorganic Hybrid Solar Cells with Omnidirectional Harvesting Characteristics by Employing Hierarchical Photon Trapping Structures,” Nano Letters, 13 (8), pp. 3658–3663, 2013
  58. Wei Zheng, Zhe Chuan Feng, Rui Sheng Zheng, Ling-Yun Jang and C. W. Liu, “3C-, 4H- and 6H-SiC bulks studied by Si K-edge X-ray absorption,” Mat. Sci. Forum, 740-2, 573-576, 2013
  59. Cheng-Ming Lin, Hung-Chih Chang, I-Hsieh Wong, Shih-Jan Luo, C. W. Liu, and Chenming Hu, “Interfacial layer reduction and high permittivity tetragonal ZrO2 on germanium reaching ultrathin 0.39 nm equivalent oxide thickness,” Appl. Phys. Lett., Vol. 102, 232906, 2013
  60. Ming-Heng Tsai, Sun-Rong Jan, Che-Yu Yeh, C. W. Liu, Robert V. Goldstein, Valentin A. Gorodtsov, and Pavel S. Shushpannikov, “Modeling and Optimization of Edge Dislocation Stressors,” IEEE Electron Device Letters, vol. 34, no. 8, pp. 948–950, 2013
  61. C.W. Liu, T.-H. Cheng, Y.-Y. Chen, S.-R. Jan, C.-Y. Chen , S.T. Chan, Y.-H. Nien, Y. Yamamoto, and B. Tillack, “Direct and indirect radiative recombination from Ge,” Thin Solid Films, Vol. 520, pp. 3249–3254, 2012
  62. K.-M. Chen, G.-W. Huang, B.-Y. Chen, C.-S. Chiu, C.-H. Hsiao, W.-S. Liao, M.-Y. Chen, Y.-C. Yang, K.-L. Wang, and C. W. Liu, “LDMOS Transistor High-Frequency Performance Enhancements by Strain,” IEEE Electron Device Letters, Vol. 33, No. 4, pp. 471-473, 2012
  63. W.-W. Hsu, J. Y. Chen, T.-H. Cheng, S. C. Lu, W.-S. Ho, Y.-Y. Chen, Y.-J. Chien, and C. W. Liu, “Surface passivation of Cu(In,Ga)Se2 using atomic layer deposited Al2O3,” Appl. Phys. Lett., Vol. 100, 023508, 2012
  64. S.-R. Jan, T.-P. Chou, C.-Y. Yeh, C. W. Liu, R. V. Goldstein, V. A. Gorodtsov, and P. S. Shushpannikov, “A Compact Analytic Model of the Strain Field Induced by Through Silicon Vias,” IEEE Transactions on Electron Devices, Vol. 59, NO. 3, pp. 777-782, 2012
  65. T.M. Lu, W. Pan, D.C. Tsui, C.-H. Lee, and C.W. Liu, “The fractional quantum Hall effect of two-dimensional electrons in high-mobility Si/SiGe field-effect transistors,” Physical Review B, Vol. 85, pp. 121307(R), 2012
  66. W.-H Tu, S.-H. Huang, and C.W. Liu, “Ge out diffusion effect on SiGe nanoring formation,” J. Appl. Phys, Vol. 111, 076103, 2012
  67. H.-C. Chang, S. -C. Lu, T.-P. Chou, C.-M. Lin, and C. W. Liu, “First-principles study of Ge dangling bonds with different oxygen backbonds at Ge/GeO2 interface,” J. Appl. Phy.s, Vol. 111, 076105, 2012
  68. S. -H. Huang, T. -M. Lu, S. -C. Lu, C. -H. Lee, C. W. Liu, and D. C. Tsui, “Mobility enhancement of strained Si by optimized SiGe/Si/SiGe structures,” Appl. Phys. Lett., Vol. 101, 042111, 2012
  69. H.-C. Sun, Y.-J. Yang, J. Y. Chen, and T.-M. Chao, C. W. Liu, W.-Y. Lin, C.-C. Bi, and C.-H. Yeh, “Enhanced recovery of light-induced degradation on the micromorph solar cells by electric field,” J. Appl. Phy.s, Vol. 112, 056104, 2012
  70. W.-H. Tu, C.-H. Lee, H. T. Chang, B.-H. Lin, C.-H. Hsu, S. W. Lee, and C. W. Liu, “A transition of three to two dimensional Si growth on Ge (100) substrate,” J. Appl. Phy.s, Vol. 112, 126101, 2012
  71. C.-M. Lin, Y.-T. Chen, C.-H. Lee, H.-C. Chang, W.-C. Chang, H.-L. Chang, and C. W. Liu, “Voltage Linearity Improvement of HfO2-Based Metal-Insulator-Metal Capacitors with H2O Prepulse Treatment,” Journal of The Electrochemical Society, Vol. 158, No. 2, H128, 2011
  72. H. -S. Lan, S. -T. Chan and T. -H. Cheng, C. -Y. Chen and S. -R. Jan and C. W. Liu, “Biaxial tensile strain effects on photoluminescence of different orientated Ge substrates,” Appl. Phys. Lett., Vol. 98, 101106, 2011
  73. S. -R. Jan, C. -Y. Chen, C. -H. Lee, S. -T. Chan, K. -L. Peng and C. W. Liu, “Influence of defects and interface on radiative transition of Ge,” Appl. Phys. Lett., Vol. 98, 141105, 2011
  74. S.-H. Tang, E. Y. Chang, M. Hudait, J.-S. Maa, C. W. Liu, G.-L.Luo, H.-D. Trinh, and Y.-H. Su, “High quality Ge thin film grown by ultrahigh vacuum chemical vapor deposition on GaAs substrate,” Appl. Phys. Lett., Vol. 98, 161905, 2011
  75. Y.-T. Chen, H.-S. Lan, W. Hsu, Y.-C. Fu, J.-Y. Lin, and C. W. Liu, “Strain response of high mobility germanium n-channel metal-oxide-semiconductor field-effect transistors on (001) substrates,” Appl. Phys. Lett., Vol. 99, 022106, 2011
  76. Y.-Y. Chen, J. Y. Chen, R.-J. Hsu, W. S. Ho, C. W. Liu, W.-F. Tsai, and C.-F. Ai, “Edge passivation of Si solar cells by omnidirectional hydrogen plasma implantation,” Journal of The Electrochemical Society, Vol. 158, No. 9, pp. H912-H914, 2011
  77. W. S. Ho, Y. Deng, Y.-Y. Chen, T.-H. Cheng, C. W. Liu, W.-F. Tsai, and C.-F. Ai, “Enhanced optical performance by energetic hydrogen passivation at Si/oxide interface,” Thin Solid Films, Vol. 520, pp. 448-451, 2011
  78. H. -S. Lan, Y.-T. Chen, Hung-Chih Chang, J.-Y. Lin, William Hsu, W. -C. Chang, and C. W. Liu, “Electron scattering in Ge metal-oxide-semiconductor field-effect transistors,” Appl. Phys. Lett., Vol. 99, 112109, 2011
  79. T.M. Lu, C.-H. Lee, S.-H. Huang, D.C. Tsui, and C.W. Liu, “Upper limit of two-dimensional electron density in enhancement-mode Si/SiGe heterostructure field-effect transistors,” Appl. Phys. Lett., Vol. 99, 153510, 2011
  80. W. Hsu, C. -Y. Peng, C. -M. Lin, Y. -Y. Chen, Y. -T. Chen, W. -S. Ho, and C. W. Liu, “Flexible single-crystalline Ge p-channel thin-film transistors with Schottky-barrier source/drain on polyimide substrates,” IEEE Electron Device Letters, Vol. 31, No. 5, pp. 422, 2010
  81. T. -H. Cheng, K. -L. Peng, C. -Y. Ko, C. -Y. Chen, H. -S. Lan, Y. -R. Wu, C. W. Liu*, and H. -H. Tseng, “Strain-enhanced photoluminescence from Ge direct transition,” Appl. Phys. Lett., Vol. 96, 211108, 2010
  82. T. M. Lu*, C. -H. Lee, D. C. Tsui, and C. W. Liu, “Integration of complementary circuit and two-dimensional electron gas in a Si/SiGe heterostructure,” Appl. Phys. Lett., Vol. 96, 253103, 2010
  83. C.-H. Lin* and C. W. Liu, “Metal-oxide-semiconductor SiGe/Si quantum dot infrared photodetectors with delta doping in different positions,” Thin Solid Films, Vol. 518, S237-S240, 2010
  84. S. W. Lee, H. T. Chang, C. -H. Lee, S. L. Cheng and C. W. Liu, “Composition redistribution of self-assembled Ge islands on Si (001) during annealing,” Thin Solid Films, Vol. 518, pp. S196, 2010
  85. T. -H. Cheng, C. -Y. Ko, C. -Y. Chen, K. -L. Peng, G. -L. Luo, C. W. Liu, and H. -H. Tseng, “Competitiveness between direct and indirect radiative transitions of Ge,” Appl. Phys. Lett., Vol. 96, 091105, 2010
  86. C. -H. Lee, C. W. Liu, H. -T. Chang, and S. W. Lee, “Hexagonal SiGe Quantum Dots and Nanorings on Si(110),” J. Appl. Phys., Vol. 107, 056103, 2010
  87. Yen-Ting Chen, Hung-Chang Sun, Ching-Fang Huang, Ting-Yun Wu, C. W. Liu, Yuan-Jun Hsu, and Jim-Shone Chen, “Capacitorless 1T Memory Cells Using Channel Traps at grain boundaries,” IEEE Electron Device Letters, Vol. 31, No. 10,, pp. 1125, 2010
  88. Wen-Wei Hsu, Chao-Yun Lai, C. W. Liu, Chih-Hsin Ko, Ta-Ming Kuan, Tzu-Juei Wang, Wen-Chin Lee, and Clement H. Wann, “Insulating Halos to Boost Planar NMOSFET Performance,” IEEE Electron Device Letters, Vol. 57, No. 10, pp. 2526, 2010
  89. H.-C. Sun, C.-F. Huang, Y.-T. Chen, T.-Y. Wu, C. W. Liu, Y.-J. Hsu, and J.-S. Chen, “Threshold Voltage and Mobility Extraction of NBTI Degradation of Poly-Si Thin-Film Transistors,” IEEE Transactions on Electron Devices, Vol. 57, No. 11, pp. 3186, 2010
  90. C.-H. Lin and C. W. Liu, “Metal-Insulator-Semiconductor Photodetectors,” sensors, Vol. 10, No.10, pp. 8797-8826, 2010
  91. W.-L. Hsu, C.-T. Lin, T.-H. Cheng, S.-C. Yen, C. W. Liu, D.-P. Tsai, and G.-R. Lin, “Annealing induced ref inement on optical transmission and electrical resistivity of indium tin oxide,” Chinese Optics Letters, Vol. 7, No. 3, March 10, Mar. 2009
  92. T. M. Lu, D. C. Tsui, C. -H. Lee and C. W. Liu, “Observation of two-dimensional electron gas in a Si quantum well with mobility of 1.6×106 cm2/Vs,” Appl. Phys. Lett., Vol. 94, 182102, 2009
  93. S. W. Lee, C. -H. Lee, H. T. Chang, S. L. Cheng and C. W. Liu, “Evolution of composition distribution of Si-capped Ge islands on Si (001),” Thin Solid Film, Vol. 517, 5029, 2009
  94. W. -L. Hsu, Y. -H. Pai, F. -S. Meng, C. W. Liu, and G. -R. Lin, “Nanograin crystalline transformation enhanced UV transparency of annealing refined indium tin oxide film,” Appl. Phys. Lett., Vol. 93(23), 231906 - 231906-3, 2009
  95. C.-Y. Peng, Y.-C. Fu, C.-F. Huang, Y.-J. Yang, S.-T. Chang, and C.W. Liu, “Effects of Applied Mechanical Uniaxial and Biaxial Tensile Strain on the Flatband Voltage of (001), (110), and (111) Metal–Oxide–Silicon Capacitors,” IEEE Trans. on Electron Devices, Vol. 56, No. 8, pp. 1736-1745, 2009
  96. W. S. Ho, Y.-H. Dai, Y. Deng, C.-H. Lin, Y.-Y. Chen, C.-H. Lee, and C. W. Liu, “Flexible Ge-on-Polyimide detectors,” Appl. Phys. Lett., Vol. 94, 261107, 2009
  97. T. M. Lu, D. C. Tsui, C. -H. Lee and C. W. Liu, “Observation of two-dimensional electron gas in a Si quantum well with mobility of 1.6×106 cm2/Vs,” Appl. Phys. Lett., Vol. 94, 182102, 2009
  98. C.-Y. Peng, C.-F. Huang, Y.-C. Fu, Y.-H. Yang, C.-Y. Lai, S.-T. Chang, and C. W. Liu, “Comprehensive study of the Raman shifts of strained silicon and germanium,” J. Appl. Phys., Vol. 105, 083537, 2009
  99. P.-S. Kuo, C.-Y. Peng, C.-H. Lee, Y.-Y. Shen, H.-C. Chang, and C. W. Liu, “Si/Si0.2Ge0.8/Si quantum well Schottky barrier diodes,” J. Appl. Phys., Vol. 105, 106107, 2009
  100. C.-H. Lin, Y.-J. Yang, E. Encinas, W.-Y. Chen, J.-J. Tsai, and C. W. Liu, “Single crystalline film on glass for thin film solar cells,” J. Nanosci. Nanotech., Vol. 9, No. 6, pp. 3622-3626, 2009
  101. C.-H. Lee, Y.-Y. Shen, C. W. Liu, S. W. Lee, B.-H. Lin, and C.-H. Hsu, “SiGe nanorings by ultrahigh vacuum chemical vapor deposition,” Appl. Phys. Lett., Vol. 94, 141909, 2009
  102. C.-F. Huang, H.-C. Sun, Y.-J. Yang, C.-Y. Peng, Y.-T. Chen, C. W. Liu, Y.-C. Hsu, C.-C. Shih, and J.-S. Chen, “Dynamic bias instability on p-channel polycrystalline silicon thin-film transistors induced by impact ionization,” IEEE Elec. Dev. Lett., Vol. 30, No. 4, pp. 368-370, 2009
  103. W. S. Ho, C.-H. Lin, T.-H. Cheng, W. W. Hsu, Y. -Y. Chen, P. -S. Kuo, and C. W. Liu, “Narrow-Band Metal-Oxide-Semiconductor Photodetectors,” Appl. Phys. Lett., Vol. 94, 061114, 2009
  104. H.-L. Chang, P.-S. Kuo, W.-C. Hua, C.-P. Lin, C.-Y. Lin, and C. W. Liu, “Reduction of crosstalk between dual power amplifiers using laser treatment,” IEEE Microwave. Wireless Compon. Lett., Vol. 18, No. 9, pp. 602-604, Sept. 2008
  105. W.-S. Liao, S.-Y. Huang, M.-C. Tang, Y.-G Liaw, K.-M. Chen, Tommy Shih, H.-C. Tsen, L. Chung, and C. W. Liu, “Logic 90 nm n-Channel Field Effect Transistor Current and Speed Enhancements Through External Mechanical Package Straining,” Jpn J. Appl. Phys., L3127-3129, Apr. 2008
  106. W.-S. Liao, Y.-G. Liaw, M.-C. Chyuan, K.-M. Chen, S.-Y. Huang, C.-Y. Peng, and C. W. Liu, “PMOS Hole Mobility Enhancement Through SiGe Conductive Channel and High-compressive ILD-SiNx Stressing Layer,” IEEE Electron Device Letters, Vol. 29, No. 1, pp. 86-88, 2008
  107. A. A. Abramov, C.-H. Lin, and C.W. Liu, “Fano interference in the Quantum Well–quantum dot system,” International Journal of Nanoscience, Vol. 7, Nos. 4&5, pp. 181–186, 2008
  108. S.-R. Jan, T.-H. Cheng, T.-A. Hung, P.-S. Kuo, M. H. Liao, Y. Deng, and C. W. Liu, “Blue Electroluminescence From Metal/Oxide/6H-SiC Tunneling Diodes,” IEEE Trans. on Electron Devices, Vol. 55, No. 12, pp. 3590-3593, 2008
  109. C.-F. Huang, C.-Y. Peng, Y.-J. Yang, H.-C. Sun, H.-C. Chang, P.-S. Kuo, H.-L. Chang, C.-Z. Liu, and C. W. Liu, “Stress-induced hump effects of p-channel polycrystalline silicon thin-film transistors,” IEEE Electron Device Letters, Vol. 29, No. 12, pp. 1332-1335, 2008
  110. C.-H. Lin, C.-Y. Yu, C.-C. Chang, C.-H. Lee, Y.-J. Yang, W. S. Ho, Y.-Y. Chen, M. H. Liao, C.-T. Cho, C.-Y. Peng, and C. W. Liu, “SiGe/Si Quantum-Dot Infrared Photodetectors With  doping,” IEEE Trans. Nanotech., Vol. 7, No. 5, pp. 558-564, 2008
  111. W.-S. Liao, Y.-G. Liaw, M.-C. Tang, S. Chakraborty, and C. W. Liu, “Investigation of Reliability Characteristics in NMOS and PMOS FinFETs,” IEEE Electron Device Letters, Vol. 29, No. 7, pp. 788-790, 2008
  112. C.-H. Lee, C.-Y. Yu, C. M. Lin, C. W. Liu, H. Lin, and W.-H. Chang, “Carrier gas effects on the SiGe quantum dots formation,” Applied Surface Science, Vol. 254, No. 19, pp. 6257–6260, 2008
  113. S. W. Lee, P. S. Chen, S. L. Cheng, M. H. Lee, H. T. Chang, C.-H. Lee, and C. W. Liu, “Modified growth of Ge quantum dots using C2H4 mediation by ultra-high vacuum chemical vapor deposition,” Applied Surface Science, Vol. 254, No. 19, pp. 6261-6264, 2008
  114. P. S. Chen, S. W. Lee, M. H. Lee, and C. W. Liu, “Formation of relaxed SiGe on the buffer consists of modified SiGe stacked layers by Si pre-intermixing,” Applied Surface Science, Vol. 254, No. 19, pp. 6076-6080, 2008
  115. M. H. Liao, Lingyen Yeh, T.-L. Lee, C. W. Liu and M.-S. Liang, “Superior n-MOSFET performance by optimal stress design,” IEEE Electron Device Letters, 29(4), pp. 402-404, 2008
  116. T.-H. Cheng, M. H. Liao, Lingyen Yeh, T.-L. Lee, M.-S. Liang, and C. W. Liu, “Digital communication using Ge metal-insulator-semiconductor light-emitting diodes and photodetectors,” J. Appl. Phys., Vol. 103, 016103, 2008
  117. W.-C. Hua, H.-L. Chang, T. Wang, C.-Y. Lin, C.-P. Lin, S. S. Lu, C. C. Meng, and C. W. Liu, “Performance Enhancement of the nMOSFET Low Noise Amplifier by Package Strain,” IEEE Trans. on Electron Devices, Vol. 54, No. 1, pp. 160-162, 2007
  118. C.-Y. Peng, F. Yuan, M. H. Lee, C.-Y. Yu, S. Maikap, S. T. Chang, P.-S. Kuo, and C. W. Liu, “Hole mobility enhancement of Si0.2Ge0.8 quantum well channel on Si,” Appl. Phys. Lett., Vol. 90, 012114, 2007
  119. C.-H. Lin, C.-Y. Yu, C.-Y. Peng, W.-S. Ho and C. W. Liu, “Broadband SiGe/Si quantum dot infrared photodetector,” J. Appl. Phys., Vol. 101, No. 3, 033117, 2007
  120. T.-C. Chen, C.-Y. Peng, M. H. Liao, C.-H. Tseng, P.-S. Chen, M.-Y. Chern, and C. W. Liu, “Characterization of the ultra-thin HfO2 and Hf-silicate grown by atomic layer deposition,” IEEE Trans. on Electron Devices, Vol. 54, pp. 759-776, 2007
  121. C.-H. Lin, Y.-T. Chiang, C.-C. Hsu, C.-H. Lee, C.-F. Huang, C.-H. Lai, T.-H. Cheng, and C. W. Liu, “Ge-on-glass Detectors,” Appl. Phys. Lett., Vol. 91, 041105, 2007
  122. P.-S. Kuo, C.-H. Lin, C.-Y. Peng, Y.-C. Fu, and C. W. Liu, “Transport mechanism of SiGe dot MOS tunneling diodes,” IEEE Electron Device Letters, Vol. 28, No. 7, pp. 596-598, 2007
  123. M. H. Liao, C.-H. Lee, T.-A. Hung, and C. W. Liu, “The intermixing and strain effects on electroluminescence of SiGe dots,” J. Appl. Phys., Vol. 102, 053520, 2007
  124. Y.-J. Yang, W. S. Ho, C.-F. Huang, S. T. Chang, and C. W. Liu, “Electron mobility enhancement in strained-germanium n-channel metal-oxide-semiconductor field-effect-transistors,” Appl. Phys. Lett., Vol. 91, 102103, 2007
  125. S. L. Wu, Y. M. Lin, S. J. Chang, S. C. Lu, P. S. Chen, and C. W. Liu, “Enhanced COMS Performances Using Substrate Strained-SiGe and Mechanical Strained-Si Technology,” IEEE Electron Device Letter, Vol. 27, No. 1, pp. 46-48, 2006
  126. J.-Y. Wei, S. Maikap, M. H. Lee, C. C. Lee, and C. W. Liu, “Hole confinement at Si/SiGe heterojunction of strained-Si N and PMOS devices,” Solid State Electronics, Vol. 50, pp. 109-113, 2006
  127. P. S. Chen, S. W. Lee, M. H. Lee and C. W. Liu, “Growth of high-quality relaxed SiGe films with an intermediate Si layer for strained Si n-MOSFETs,” Semicond. Sci. Technol, Vol. 21, pp. 479-485, 2006
  128. C.-H. Lin, C.-Y. Yu, P.-S. Kuo, C.-C. Chang, T.-H. Kuo, and C. W. Liu, “Delta-doped MOS Ge/Si Quantum Dot/Well Infrared Photodetector,” Thin Solid Films, Vol. 508, pp. 389-392, 2006
  129. F. Yuan, C.-F. Huang, M.-H. Yu, and C. W. Liu, “erformance Enhancement of Ring Oscillators and Transimpedance Amplifiers by Package Strain,” IEEE Trans. on Electron Devices, Vol. 53, No. 4, pp. 724-729, 2006
  130. M. H. Liao, C.-Y. Yu, T.-H. Guo, C.-H. Lin, and C. W. Liu, “Electroluminescence from the Ge quantum dot metal-oxide-semiconductor tunneling diodes,” IEEE Electron Device Letter, Vol. 27, No.4, pp. 252-254, 2006
  131. M. H. Liao, P.-S. Kuo, S.-R. Jan, S.-T. Chang, C. W. Liu, “Strained Pt Schottky diodes on n-type Si and Ge,” Appl. Phys. Lett., Vol. 88, 143509, 2006
  132. J.-W. Shi, P.-H. Chiu, F.-H. Huang, and Y.-S. Wu, Ja-Yu Lu, C.-K. Sun, and C.-W. Liu, P.-S. Chen, “Si/SiGe-Based Edge-Coupled Photodiode with Partially P-Doped Photo-absorption Layer for High Responsivity and High-Power Performance,” Appl. Phys. Lett., Vol. 88, 193506, 2006
  133. S. W. Lee, P. S. Chen, T. Y. Chien, L. J. Chen, C. T. Chia, and C. W. Liu, “Growth of high-quality SiGe films with a buffer layer containing Ge quantum dots,” Thin Solid Films, Vol. 508, pp. 120-123, 2006
  134. S. W. Lee, Y. L. Chueh, H. C. Chen, L. J. Chen, P. S. Chen, L. J. Chou, and C. W. Liu, “Field emission properties of self-assembled Si-capped Ge quantum dots,” Thin Solid Films, Vol. 508, pp. 218-221, 2006
  135. Y. M. Lin, S. L. Wu, S. J. Chang, P. S. Chen and C. W. Liu, “Hole Confinement and 1/f Noise Characteristics of SiGe Double-Quantum-Well p-Type Metal-Oxide-Semiconductor Field-Effect Transistors,” Jpn. J. Appl. Phys., Part1 Lett., Vol. 45, No. 5A, pp. 4006-4008, 2006
  136. C.-F. Huang, Y.-J. Yang, C.-Y. Peng, F. Yuan, and C. W. Liu, “Mechanical Strain Effect of N-channel Poly-Si Thin-Film Transistors,” Appl. Phys. Lett., Vol. 89, 103502, 2006
  137. C.-Y. Yu, C.-Y. Lee, C.-H. Lin, and C. W. Liu, “Low-Temperature Fabrication and characterization of Ge-on-Insulator structures,” Appl. Phys. Lett., Vol. 89, 101913, 2006
  138. C.-Y. Yu, C.-J. Lee, C.-Y. Lee, J.-T. Lee, M. H. Liao, and C. W. Liu, “The Buckling Characteristics of SiGe Layers on Viscous Oxide,” J. of Appl. Phys., Vol. 100, 063510, 2006
  139. M. H. Liao, T.-H. Cheng, and C. W. Liu, “Infrared emission from Ge metsl-isulator-semiconductor tunneling diodes,” Appl. Phys. Lett., Vol. 89, 261913, 2006
  140. C. W. Liu, S. Maikap, and C.-Y. Yu, “(Invited)Mobility-enhancement Technologies,” IEEE Circuit and Device Magazine, Vol. 21, No. 3, pp. 21-36, May 2005
  141. Y. M. Lin, S. L. Wu, S. J. Chang, P. S. Chen, C. W. Liu, “SiGe/Si PMOSFET using graded channel technique,” Materials Science in Semiconductor Processing, Vol. 8, pp. 347-351, 2005
  142. C.-Y. Yu, P.-W. Chen, S.-R. Jan, M.-H. Liao, K.-F. Liao, and C. W. Liu, “Buckled SiGe layers by the oxidation of SiGe on viscous SiO2 layers,” Appl. Phys. Lett., Vol. 86, No. 1, pp. 011909, 2005
  143. T. C. Chen, L. S. Lee, W. Z. Lai and C. W. Liu, “The Characteristic of HfO2 on Strained SiGe,” Materials Science in Semiconductor Processing, Vol. 8, No. 1-3, pp. 209-213, 2005
  144. P. S. Chen, S. W. Li, Y. H. Liu, M. H. Lee, M.-J. Tsai and C. W. Liu, “Ultra-high-vacuum chemical vapor deposition of hetero-epitaxial Si1-x-yGexCy thin films on Si(001) with ethylene (C2H4) precursor as carbon source,” Materials Science in Semiconductor Processing, Vol. 8, No. 1-3, pp. 15-19, 2005
  145. Y. M. Lin, S. L. Wu, S. J. Chang, P. S. Chen and C. W. Liu, “SiGe/Si PMOSFET Using Graded Channel Technique,” Materials Science in Semiconductor Processing, Vol. 8, No. 1-3, pp. 347-351, 2005
  146. M.-H. Liao, T. C. Chen, M. J. Chen, and C. W. Liu, “Electroluminescence from metal/oxide/strained-Si tunneling diodes,” Appl. Phys. Lett., Vol. 86, No. 22, 223502, 2005
  147. M. H. Liao, S. T. Chang, M. H. Lee, S. Maikap, and C. W. Liu, “Abnormal hole mobility of biaxial strained Si,” J. Appl. Phys, Vol. 98, pp. 066104, 2005
  148. W.-C. Hua, M. H. Lee, P. S. Chen, S. C. Lu, M.-J. Tsai, and C. W. Liu, “Treading Dislocation Induced Low Frequency Noise in Strained-Si nMOSFETs,” IEEE Electron Device Letter, Vol. 26, No. 9, pp. 667-669, 2005
  149. 21. K. F. Liao, S. W. Lee, L. J. Chen, P. S. Chen, and C. W. Liu, “Formation of thin relaxed SiGe buffer layer with H-implantation dose and thermal annealing,” Nuclear Inst. and Methods in Physics Research, B, Vol. 237, No. 1-2, pp. 217-222, 2005
  150. S. W. Lee, Y. L. Chueh, L. J. Chen, L. J. Chou, P. S. Chen, M. H. Lee, M.-J. Tsai, and C. W. Liu, “The growth of strained Si on high-quality relaxed Si1-xGex with an intermediate Si1-yGey layer,” J. Vac. Sci. Tech, A, Vol. 23, No. 4, pp.1141-1145, 2005
  151. C. C. Yeo, B. J. Cho, F. Gao, S. J. Lee, M. H. Lee, C.-Y. Yu, C. W. Liu, L. J. Tang, and T. W. Lee, “Electron Mobility Enhancement Using Ultrathin Pure Ge on Si Substrate,” IEEE Electron Device Letter, Vol. 26, No. 10, pp. 761-763, 2005
  152. S. W. Lee, Y. L. Chueh, L. J. Chen, L. J. Chou, P. S. Chen, M.-J. Tsai, and C. W. Liu, “Formation of SiCH6-mediated Ge quantum dots with strong field emission properties by ultra-high vacuum chemical vapor deposition,” J. Appl. Phys, Vol. 98, pp. 073506, 2005
  153. W.-C. Hua, M. H. Lee, P. S. Chen, S. Maikap, C. W. Liu, and K. M. Chen, “Ge Outdiffusion Effect on Flicker Noise in Strained-Si NMOSFETs,” IEEE Electron Device Letters, Vol. 25, No. 10, pp. 693-695, 2004
  154. J.-W. Shi, Z. Pei, F. Yuan, Y.-M. Hsu, C. W. Liu, S. C. Lu, and M.-J. Tsai, “Performance Enhancement of High-Speed SiGe Based Heterojunction Phototransistor with Substrate Terminal,” Appl. Phys. Lett., Vol. 85, No. 14, pp. 2947-2949, 2004
  155. B.-C. Hsu, C.-H. Lin, P.-S. Kuo, S. T. Chang, P. S. Chen, C. W. Liu, J.-H. Lu, and C. H. Kuan, “Novel MIS Ge-Si Quantum-Dot Infrared Photodetectors,” IEEE Electron Device Letters, Vol. 25, No. 8, pp. 544-546, 2004
  156. S. T. Chang, C. W. Liu, and S. C. Lu, “Base Transit Time of Graded-Base Si/SiGe HBTs Considering Recombination Lifetime and Velocity Saturation,” Solid State Electronics, Vol. 48, No. 2, pp. 207-215, 2004
  157. S. W. Lee, L. J. Chen, P. S. Chen, M.-J. Tsai, C. W. Liu, W. Y. Chen, and T. M. Hsu, “Improved growth of Ge quantum dots in Ge/Si stacked layers by pre-intermixing treatments,” Applied Surface Science, Vol. 224, No. 1-4, pp. 152-155, 2004
  158. S. W. Lee, P. S. Chen, M.-J. Tsai , C. T. Chia, C. W. Liu, and L. J. Chen, “The growth of high-quality SiGe films with an intermediate Si layer,” Thin Solid Film, Vol. 447-448, pp. 302-305, 2004
  159. C. W. Liu, M. H. Lee, Y. C. Lee, P. S. Chen, C.-Y. Yu, J.-Y. Wei, and S. Maikap, “Evidence of Si/SiGe heterojunction roughness scattering,” Appl. Phys. Lett., Vol. 85, No. 21, pp. 4947-4949, 2004
  160. Y. H. Peng, C.-H. Hsu, C. H. Kuan, C. W. Liu, P. S. Chen, M.-J. Tsai, and Y. W. Suen, “The evolution of electroluminescence in Ge quantum-dot diodes with the fold number,” Appl. Phys. Lett., Vol. 85, No. 25, pp. 6107-6109, 2004
  161. P.-S. Kuo, B.-C. Hsu, P.-W. Chen, P. S. Chen, and C. W. Liu, “Recessed Oxynitride Dots on Self-assembled Ge Quantum Dots Grown by Liquid Phase Deposition,” Electrochemical and Solid-State Letters, Vol. 7, No. 10, pp. G201-G203, 2004
  162. C. Y. Lin, S. T. Chang, and C. W. Liu, “Hole effective mass in strained Si1-xCx alloys,” J. Appl. Phys., Vol. 96, No. 9, pp. 5037-5041, 2004
  163. J.-W. Shi, Y.-H. Liu, and C. W. Liu, “Design and Analysis of Separate-Absorption-Transport- Charge-Multiplication Traveling-Wave Avalanche Photodetectors,” IEEE/OSA, Journal of Lightwave Technology, Vol. 22, No. 6, pp. 1583-1590, 2004
  164. F. Yuan, J.-W. Shi, Z. Pei, and C. W. Liu, “MEXTRAM Modeling of Si/SiGe Heterojunction Phototransistors,” IEEE Trans. Electron Devices, Vol. 51, No. 6, pp. 870-876, 2004
  165. S. Maikap, C.-Y. Yu, S.-R. Jan, M. H. Lee, and C. W. Liu, “Mechanically strained strained-Si NMOSFETs,” IEEE Electron Device Letters, Vol. 25, No. 1, pp. 483-485, 2004
  166. Z. Pei, J.-W. Shi, Y.-M. Hsu, F. Yuan, C. S. Liang, S. C. Lu, W. Y. Hsieh, M.-J. Tsai, and C. W. Liu, “Bandwidth Enhancement in an Integratable SiGe phototransistor by Removal of Excessive Carrier,” IEEE Electron Device Letters, Vol. 25, No. 5, pp. 286-288, 2004
  167. W.-C. Hua, T.-Y. Yang, and C. W. Liu, “The Comparison of Isolation Technologies and Device Models on SiGe Bipolar Low Noise Amplifier,” Applied Surface Science, Vol. 224, No. 1-4, pp. 425-428, 2004
  168. T. C. Chen, W. Z. Lai, C. Y. Liang, M. J. Chen, L. S. Lee, and C. W. Liu, “Light Emission From Al/HfO2/Silicon Diodes,” J. Appl. Phys., Vol. 95, No. 11, pp. 6486-6488, 2004
  169. F. Yuan, S.-R. Jan, S. Maikap, Y.-H. Liu, C.-S. Liang, and C. W. Liu, “Mechanically strained Si/SiGe HBTs,” IEEE Electron Device Letters, Vol. 25, No. 7, pp. 483-485, 2004
  170. 6. S. W. Lee, P. S. Chen, M.-J. Tsai , C. T. Chia, C. W. Liu, and L. J. Chen, “The growth of high-quality SiGe films with an intermediate Si layer,” Thin Solid Film, Vol. 447-448,, pp. 302-305, 2004
  171. 7. C. W. Liu, M. H. Lee, Y. C. Lee, P. S. Chen, C.-Y. Yu, J.-Y. Wei, and S. Maikap, “Evidence of Si/SiGe heterojunction roughness scattering,” Appl. Phys. Lett., Vol. 85 No. 21, pp. 4947-4949, 2004
  172. 8. Y. H. Peng, C.-H. Hsu, C. H. Kuan, C. W. Liu, P. S. Chen, M.-J. Tsai, and Y. W. Suen, “The evolution of electroluminescence in Ge quantum-dot diodes with the fold number,” Appl. Phys. Lett.,, Vol. 85, No. 25, pp. 6107-6109, 2004
  173. 9. P.-S. Kuo, B.-C. Hsu, P.-W. Chen, P. S. Chen, and C. W. Liu, “Recessed Oxynitride Dots on Self-assembled Ge Quantum Dots Grown by Liquid Phase Deposition,” Electrochemical and Solid-State Letters, Vol. 7, No. 10, pp. G201-G203, 2004
  174. C. Y. Lin, S. T. Chang, and C. W. Liu, “Hole effective mass in strained Si1-xCx alloys,” J. Appl. Phys, Vol. 96, No. 9, pp. 5037-5041, 2004
  175. J.-W. Shi, Y.-H. Liu, and C. W. Liu, “Design and Analysis of Separate-Absorption-Transport- Charge-Multiplication Traveling-Wave Avalanche Photodetectors,” IEEE/OSA, Journal of Lightwave Technology, Vol. 22, No. 6, pp. 1583-1590, 2004
  176. F. Yuan, J.-W. Shi, Z. Pei, and C. W. Liu, “MEXTRAM Modeling of Si/SiGe Heterojunction Phototransistors,” IEEE Trans. Electron Devices, Vol. 51, No. 6, pp. 870-876, 2004
  177. S. Maikap, C.-Y. Yu, S.-R. Jan, M. H. Lee, and C. W. Liu, “Mechanically strained strained-Si NMOSFETs,” IEEE Electron Device Letters, Vol. 25, No. 1, pp. 483-485, 2004
  178. Z. Pei, J.-W. Shi, Y.-M. Hsu, F. Yuan, C. S. Liang, S. C. Lu, W. Y. Hsieh, M.-J. Tsai, and C. W. Liu, “Bandwidth Enhancement in an Integratable SiGe phototransistor by Removal of Excessive Carrier,” IEEE Electron Device Letters, Vol. 25, No. 5, pp. 286-288, 2004
  179. W.-C. Hua, T.-Y. Yang, and C. W. Liu, “The Comparison of Isolation Technologies and Device Models on SiGe Bipolar Low Noise Amplifier,” Applied Surface Science, Vol. 224, No. 1-4, pp. 425-428, 2004
  180. T. C. Chen, W. Z. Lai, C. Y. Liang, M. J. Chen, L. S. Lee, and C. W. Liu, “Light Emission From Al/HfO2/Silicon Diodes,” J. Appl. Phys, Vol. 95, No. 11, pp. 6486-6488, 2004
  181. F. Yuan, S.-R. Jan, S. Maikap, Y.-H. Liu, C.-S. Liang, and C. W. Liu, “Mechanically strained Si/SiGe HBTs,” IEEE Electron Device Letters, Vol. 25, No. 7,, pp. 483-485, 2004
  182. Z. Pei, C. S. Liang, L. S. Lai, Y. T. Tseng, Y. M. Hsu, P. S. Chen, S. C. Lu, M.-J. Tsai, and C. W. Liu, “A High-Performance SiGe-Si Multiple-Quantum-Well Heterojunction Phototransistor,” IEEE Electron Device Letter, Vol. 24, No. 10, pp. 643-645, 2003
  183. M. H. Lee, C.-Y. Yu, F. Yuan, K.-F. Chen, C.-C. Lai, and C. W. Liu, “Reliability Improvement of Rapid Thermal Oxide Using Gas Switching,” IEEE Trans. Semiconductor Manufacturing, Vol. 16, No. 4, pp. 656-659, 2003
  184. S. W. Lee, L. J. Chen, P. S. Chen, M.-J. Tsai, C. W. Liu, T. Y. Chien, and C. T. Chia, “Self-assembled Nanorings in Si-capped Ge quantum dots on (001) Si,” Appl. Phys. Lett., Vol. 85, No. 23, pp. 5283-5285, 2003
  185. M.-J. Chen, J.-F. Chang, J.-L. Yen, C. S. Tsai, E.-Z. Liang, C.-F. Lin, and C. W. Liu, “Electroluminescence and photoluminescence studies on carrier radiative and nonradiative recombinations in metal-oxide-silicon tunneling diodes,” J. Appl. Phys., Vol. 93, No. 7, pp. 4253-4259, 2003
  186. B.-C. Hsu, S. T. Chang, T.-C. Chen, P.-S. Kuo, P. S. Chen, and C. W. Liu, “A High Efficient 820 nm MOS Ge Quantum Dot Photodetector,” IEEE Electron Device Letter, Vol. 24, No. 5, pp. 318-320, 2003
  187. C. W. Liu, B.-C. Hsu, K.-F. Chen, M. H. Lee, C.-R. Shie, and P.-S. Chen, “Strain-induced growth of SiO2 dots by liquid phase deposition,” Appl. Phys. Lett., Vol. 82, No. 4, pp. 589-591, 2003
  188. C.-H. Lin, F. Yuan, B.-C Hsu, and C. W. Liu, “Isotope effect of hydrogen release in metal/ oxide/n-silicon tunneling diodes,” Solid-State Electronics, Vol. 47, pp. 1123-1126, 2003
  189. B.-C. Hsu, W.-C. Hua, C.-R. Shie, K.-F. Chen, and C. W. Liu, “The Growth and Electrical Characteristics of Liquid Phase Deposition SiO2 on Ge,” Electrochemical and Solid State Letters, Vol. 6, No. 2, pp. F9-F11, 2003
  190. S. W. Lee, L. J. Chen, P. S. Chen, M.-J. Tsai, C. W. Liu, T. Y. Chien, and C. T. Chia, “Self-assembled Nanorings in Si-capped Ge quantum dots on (001) Si,” Appl. Phys. Lett, Vol. 85, No. 23, pp. 5283-5285, 2003
  191. B.-C. Hsu, K.-F. Chen, C.-C. Lai, and C. W. Liu, “Oxide Roughness Effect on Tunneling Current of MOS Diodes,” IEEE Trans. Electron Device, pp. 2204-2208, pp. 2204-2208, 2002
  192. C.-H. Lin, F. Yuan, C.-R. Shie, K.-F. Chen, B.-C. Hsu, M. H. Lee, and C. W. Liu, “Roughness- Enhanced Reliability of MOS Tunneling Diodes,” IEEE Electron Device Letters, Vol. 23, No. 7, pp. 431-433, 2002
  193. S. T. Chang, C. Y. Lin, and C. W. Liu, “Energy Band Structure of Strained Si1-xCx alloys on Si(001) Substrate,” J. Appl. Phys., Vol. 92, No. 7, pp. 3717-3723, 2002
  194. S. T. Chang, K.-F. Chen, C.-R. Shie, C. W. Liu, M.-J. Chen, and C.-F. Lin, “The band-edge light emission from the metal-oxide-silicon tunneling diode on (110) substrates,” Solid State Electronics, Vol. 46, No. 8, pp. 1113-1116, 2002
  195. M. H. Lee, K.-F. Chen, C.-C. Lai, C. W. Liu, W.-W. Pai, M.-J. Chen and C.-F. Lin, “The roughness-enhanced light emission from metal- oxide-silicon light-emitting diodes using very high vacuum prebake,” Part2 Lett., Jpn. J. Appl. Phys., Vol. 41, No. 3B, pp. L326-L328, 2002
  196. Novel Methods to Incorporate Deuterium in the MOS Structures, “Novel Methods to Incorporate Deuterium in the MOS Structures,” IEEE Electron Device Letters, Vol. 22, No. 11, pp. 519-521, 2001
  197. M.-J. Chen, C.-F. Lin, M. H. Lee, S. T. Chang, and C. W. Liu, “Carrier life time measurements on Electroluminescent Metal-Oxide-Silicon Tunneling Diodes,” Appl. Phys. Lett., Vol. 79, No. 14, pp. 2264-2266, 2001
  198. C.-H. Lin, B.-C. Hsu, M. H. Lee, and C. W. Liu, “A Comprehensive Study of Gate Inversion Current of Metal-Oxide-Silicon Tunneling diodes,” IEEE Trans. Electron Device, Vol. 48, No. 9, pp. 2125-2130, 2001
  199. B.-C. Hsu, W. T. Liu, C.-H. Lin, and C. W. Liu, “A PMOS Tunneling Photodetector,” IEEE Trans. Electron Device, Vol. 48, No. 8, pp. 1747-1749, 2001
  200. M. H. Lee and C. W. Liu, “A Novel Illuminator Design in a Rapid Thermal Process,” IEEE Trans. Semiconductor Manufacturing, Vol. 14, No. 2, pp. 152-156, 2001
  201. C. W. Liu, C.-H. Lin, M. H. Lee, S. T. Chang, Y. H. Liu, M.-J. Chen, and C.-F. Lin, “Enhanced reliability of electroluminescence from metal-oxide-silicon tunneling diodes by deuterium incorporation,” Appl. Phys. Lett., Vol. 78, No. 10, pp. 1397-1399, 2001
  202. C.-F. Lin, M.-J. Chen, S.-W. Chang, P.-F. Chung, E.-Z. Liang, T.-W. Su, and C. W. Liu, “Electroluminescence at Si Bandgap Energy from Mechanically Pressed ITO/Si Contact,” Appl. Phys. Lett., Vol. 78, No. 13, pp. 1808-1810, 2001
  203. C.-H. Lin, M. H. Lee, and C. W. Liu, “Correlation between Si-H/D bond desorption and injected electron energy in MOS tunneling diodes,” Appl. Phys. Lett., Vol. 78, No. 5, pp. 637-639, 2001
  204. M.-J. Chen, C.-F. Lin, W. T. Liu, S. T. Chang, and C. W. Liu, “Visible and Band-Edge Electroluminescence from ITO/SiO2/Si metal oxide semiconductor structures,” J. Appl. Phys., Vol. 89, No. 1, pp. 323-326, 2001
  205. C.-F. Lin, M.-J. Chen, E.-Z. Liang, W. T. Liu, and C. W. Liu, “Reduced temperature dependence of luminescence from Silicon due to Field-Induced Carrier Confinement,” Appl. Phys. Lett., Vol. 78, No. 3, pp. 261-263, 2001
  206. C. W. Liu and Y. D. Tseng, and M. Y. Chern, “Asymmetrical x-ray reflection of SiGeC/Si Heterostructures,” Materials Chemistry and Physics, Vol. 69, No. 1-3, pp. 274-277, 2001
  207. C. W. Liu, S. T. Chang, W. T. Liu, M.-J. Chen, and C.-F. Lin, “Hot carrier recombination model of visible electroluminescence from metal oxide silicon tunneling diodes,” Appl. Phys. Lett., Vol. 77, No. 26, pp. 4347-4349, 2000
  208. C. W. Liu, M. H. Lee, S. T. Chang, M.-J. Chen, and C.-F. Lin, “Room-temperature electroluminescence from the metal oxide silicon tunneling diodes on (110) substrates,” Jpn. J. Appl. Phys., Vol. 39, No. 10B, pp. L1016 - L101, 2000
  209. C. W. Liu, M. H. Lee, M.-J. Chen, C.-F. Lin, and M. Y. Chern, “Roughness-Enhanced Electroluminescence from Metal Oxide Silicon Tunneling Diodes,” IEEE Electron Device Letters, Vol. 21, No. 12, pp. 601-603, 2000
  210. C. W. Liu, M.-J. Chen, I. C. Lin, M. H. Lee, and C.-F. Lin, “Temperature dependence of the electron-hole-plasma electroluminescence from the metal oxide silicon tunneling diodes,” Appl. Phys. Lett., Vol. 77, No. 8, pp. 1111- 1113, 2000
  211. C. W. Liu, W. T. Liu, M. H. Lee, W. S. Kuo, and B. C. Hsu, “A Novel Photodetector Using MOS Tunneling Structures,” IEEE Electron Device Letters, Vol. 21, No. 6, pp. 307-309, 2000
  212. C. W. Liu and T. X. Hsieh, “Analytical modeling of the subthreshold behavior in MOSFETs,” Solid State Electronics, Vol. 44, No. 9, pp. 1707-1710, 2000
  213. C.-F. Lin, C. W. Liu, M.-J. Chen, M. H. Lee, and I. C. Lin, “Infrared Electroluminescence from Metal-Oxide-Semiconductor Structure on Silicon,” Journal of Physics: Condensed Matter, Vol. 12, No. 11, pp. L205-L210, 2000
  214. C. W. Liu, Y. H. Huang, C. Y. Chen, S. Gurtler, C. C. Yang, Y. Chang, and L. P. Chen, “Infrared absorption study of laser induced oxide on Si and SiGe layers,” Material Chemistry and Physics, Vol. 65, No. 3, pp. 350-353, 2000
  215. C.-F. Lin, C. W. Liu, M.-J. Chen, M. H. Lee, and I. C. Lin, “Electroluminescence at Si Bandgap Energy Based on Metal-oxide-silicon Structures,” J. Appl. Phys., Vol. 87, No. 12, pp. 8793-8795, 2000
  216. C. W. Liu, M. H. Lee, M.-J. Chen, I. C. Lin, and C-F Lin, “Room-temperature electroluminescence from electron-hole plasmas in the metal oxide silicon tunneling diodes,” Appl. Phys. Lett., Vol. 76, No. 12, pp. 1516-1518, 2000
  217. C. Y. Chen, K. J. Ma, Y. S. Lin, C. W. Liu, C. Y. Chao, S. Gu, C. W. Hsu, and C. C. Yang, “Formation of Silicon Surface Grating with High Pulse-Energy UV Laser,” J. Appl. Phys., Vol. 88, No. 11, pp. 6162-6169, 2000
  218. C. W. Liu, Y. D. Tseng, and Y. S. Huang, “Substitutional carbon reduction in SiGeC alloys grown by rapid thermal chemical vapor deposition,” Appl. Phys. Lett., Vol. 75, No. 15, pp. 2271-2273, 1999
  219. C. W. Liu, Y. D. Tseng, M. Y. Chern, C. L. Chang, and J. C. Sturm, “Thermal Stability of Si/SiGeC/Si Quantum Wells Grown by Rapid Thermal Chemical Vapor Deposition,” J. Appl. Phys., Vol. 85, No. 4, pp. 2124-2128, 1999
  220. C. Y. Lin, C. W. Liu, and L. J. Lee, “Valence Band Properties of Relaxed Ge1-xCx Alloys,” Material Chemistry and Physics, Vol. 52, No. 1, pp. 31-35, 1998
  221. C. W. Liu and J. C. Sturm, “Low Temperature Chemical Vapor Deposition of -SiC on (100) Si Using Methylsilane and Device Characteristics,” J. Appl. Phys., Vol. 82, No. 9, pp. 4558-4565, 1997
  222. C. Y. Lin and C. W. Liu, “Hole Effective Masses of Si1-xCx and Si1-yGey alloys,” Appl. Phys. Lett., Vol. 70, No. 11, pp. 1441-1443, 1997
  223. C. W. Liu and V. Venkataraman, “Growth and Electron Effective Mass Measurements of Strained Si and Si0.94Ge0.06 on Relaxed Si0.62Ge0.38 Buffers Grown by Rapid Thermal Chemical Vapor Deposition,” Material Chemistry and Physics, Vol. 49, No. 1, pp. 29-32, 1997
  224. C. Y. Chao, C. Y. Chen, C. W. Liu, Y. Chang, and C. C. Yang, “Direct Writing of Silicon Grating with Highly Coherent UV Laser,” Appl. Phys. Lett., Vol. 71, No. 17, pp. 2442-2444, 1997
  225. C. W. Liu, St. A. Amour, J. C. Sturm, Y. Lacroix, M. L. W Thewalt, C. W. Magee, and D. Eaglesham, “Growth and Photoluminescence of High Quality SiGeC Alloy Layers on Si (100) Substrates,” J. Appl. Phys., Vol. 80, No. 5, pp. 3043-3047, 1996
  226. L. D. Lanzerotti, A. St. Amour, C. W. Liu, J. C. Sturm, J. K. Watanabe, and N. D. Theodore, “Si/Si1-x-yGexCy/Si Heterojunction Bipolar Transistors,” IEEE Electron Device Letters, Vol. 17, No. 7, pp. 334-337, 1996
  227. St. A. Amour, C. W. Liu, J. C. Sturm, Y. Lacroix, and M. L. W Thewalt, “Defect-Free Band-Edge Photoluminescence and Bandgap Measurement of Pseudomorphic SiGeC Alloy Layers on Si (100),” Appl. Phys. Lett., Vol. 67, No. 26, pp. 3915-3917, 1995
  228. M. H. Liao, T.-H. Cheng, C. W. Liu, Lingyen Yeh, T.-L. Lee, and M.-S. Liang, “2�慆 electroluminescence from the Si/Si0.2Ge0.8 type II heterojunction,” J. Appl. Phys., Vol. 103, 013105

Conference & proceeding papers:

  1. Chung-En Tsai, Chih-Hsiung Huang, Yu-Rui Chen, Chien-Te Tu, Yu-Shiang Huang, and C. W. Liu, “600 meV Effective Work Function Tuning by Sputtered WNx Films,” 2020 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, Apr. 2020
  2. Hsiao-Hsuan Liu, Yu-Shiang Huang, Fang-Liang Lu, Hung-Yu Ye, and C. W. Liu, “Infrared Response of Stacked GeSn Transistors,” 2020 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, Apr. 2020
  3. Sheng-Ting Fan, Yun-Wen Chen, Pin-Shiang Chen, and C. W. Liu, “Ab Initio Study on Tuning the Ferroelectricity of Orthorhombic HfO2,” 2020 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, Apr. 2020
  4. C. W. Liu, Yu-Shiang Huang, Fang-Liang Lu, Yi-Chun Liu and Hung-Yu Ye, “Stacked high mobility channel transistors,” China Semiconductor Technology International Conference (CSTIC) 2020, Shanghai, China, Mar. 2020
  5. Min-Hung Lee, Kuan-Ting Chen, Chun-Yu Liao, Guo-Yu Siang, Chieh Lo, Hong-Yu Chen, Yi-Ju Tseng, Chung-Yu Chueh, Ching Chang, Yen-Yun Lin, Yu-Jun Yang, F-C Hsieh, Shu-Tong Chang, Ming-Han Liao, Kai-Shin Li, and C. W. Liu, “Bi-directional Sub-60mV/dec, Hysteresis-Free, Reducing Onset Voltage and High Speed Response of Ferroelectric-AntiFerroelectric Hf0.25Zr0.75O2 Negative Capacitance FETs,” International Electron Devices Meeting (IEDM) 2019, p.23-6, San Francisco, USA, Dec. 2019
  6. Jih-Chao Chiu, Ya-Jui Tsou, Huan-Chi Shih, and C. W. Liu, “Write Error Rate Prediction of STT-pMTJ Considering Process Variations and Thermal Fluctuations,” IEDM MRAM Poster, San Francisco, California, USA, Dec. 2019
  7. Ya-Jui Tsou, Chia-Che Chung, Jih-Chao Chiu, Huan-Chi Shih, and C. W. Liu, “Thermal and Reliability Modeling of FinFET-Driven STT-pMTJ Array Considering Mutual Coupling, 3D Heat Flow, and BEOL Effects,” IEDM MRAM Poster, San Francisco, California, USA, Dec. 2019
  8. Chien-Te Tu, Yu-Shiang Huang, Fang-Liang Lu, Hsiao-Hsuan Liu, Chung-Yi Lin, Yi-Chun Liu, and C. W. Liu, “First Vertically Stacked Tensily Strained Ge0.98Si0.02 nGAAFETs with No Parasitic Channel and LG = 40 nm Featuring Record ION = 48 A at VOV=VDS=0.5V and Record Gm,max(S/m)/SSSAT(mV/dec) = 8.3 at VDS=0.5V,” International Electron Devices Meeting (IEDM) 2019, p.29-3, San Francisco, USA, Dec. 2019
  9. Yu-Shiang Huang, Chung-En Tsai, Chien-Te Tu, Hung-Yu Ye, Yi-Chun Liu, Fang-Liang Lu, and C. W. Liu, “First Stacked Ge0.88Sn0.12 pGAAFETs with Cap, LG=40nm, Compressive Strain of 3.3%, and High S/D Doping by CVD Epitaxy Featuring Record ION of 58A at VOV=VDS= -0.5V, Record Gm,max of 172S at VDS= -0.5V, and Low Noise,” International Electron Devices Meeting (IEDM) 2019, p.29-5, San Francisco, USA, Dec. 2019
  10. Chung-En Tsai, Chih-Hsiung Huang, Yu-Rui Chen, Yi-Chun Liu, and C. W. Liu, “Effective Work Function Tuning of Stacked WNx Films by Sputtering,” 50th IEEE Semiconductor Interface Specialists Conference (SISC), San Diego, CA, USA, Dec. 2019
  11. C. W. Liu, Yi-Chun Liu, Yu-Shiang Huang, Fang-Liang Lu, and Hung-Yu Ye, “Vertical Stacked High Mobility Channel Transistor,” International Workshop on the Physics of Semiconductor Devices, Kolkata, India, Dec. 2019
  12. C. W. Liu, Chung-En Tsai, Yu-Shiang Huang, Fang-Liang Lu, and Hung-Yu Ye, “GeSn CVD epitaxy and transistors,” 8th International Symposium on Control of Semiconductor Interfaces (ISCSI-VIII), Sendai, Japan, Nov. 2019
  13. C. W. Liu, Yu-Shiang Huang, Fang-Liang Lu, Hung-Yu Ye, “Vertically stacked n channel and p channel transistors,” Electrochemical Society Fall meeting 2019, Atlanta, Georgia, USA, Oct. 2019
  14. Chung-En Tsai, Fang-Liang Lu, Shih-Ya Lin, and C. W. Liu, “Temperature Effects in In-situ B-doped Epi-GeSn Layers on Si by CVD,” 2nd Joint ISTDM / ICSI 2019 Conference, Madison, WI, USA, Jun. 2019
  15. Hung-Yu Ye and C. W. Liu, “Scattering Mechanisms in High Electron Mobility Si/SiGe Quantum Well nFETs,” 2nd Joint ISTDM / ICSI 2019 Conference, Madison, WI, USA, Jun. 2019
  16. C. W. Liu, Yu-Shiang Huang, Fang-Liang Lu, Hung-Yu Ye, “Vertically stacked GeSi/GeSn channel transistors,” 2nd Joint ISTDM / ICSI 2019 Conference, Madison, WI, USA, Jun. 2019
  17. Fang-Liang Lu, Chung-En Tsai, Chih-Hsiung Huang, Hung-Yu Ye, Shih-Ya Lin, C. W. Liu, “Record Low Contact Resistivity (4.4x10-10Ω-cm2) to Ge Using In-situ B and Sn Incorporation by CVD With Low Thermal Budget (≤400℃) and Without Ga,” 2019 Symposia on VLSI Technology and Circuits, p. T14-2, Kyoto, Japan, Jun. 2019
  18. Chia-Che Chung and C. W. Liu, “FinFET Thermal Modeling and Circuit Thermal Simulation,” JST-MOST Joint Workshop, Jun. 2019
  19. Yu-Shiang Huang, Hung-Yu Ye, Fang-Liang Lu, Yi-Chun Liu, Chien-Te Tu, Chung-Yi Lin, Shih-Ya Lin, Sun-Rong Jan, C. W. Liu, “First Vertically Stacked, Compressively Strained, and Triangular Ge0.91Sn0.09 pGAAFETs with High ION of 19.3A at VOV=VDS=-0.5V, Gm of 50.2S at VDS=-0.5V and Low SSlin of 84mV/dec by CVD Epitaxy and Orientation Dependent Etching,” 2019 Symposia on VLSI Technology and Circuits, p.T14-3, Kyoto, Japan, Jun. 2019
  20. Emmanuele Galluccio, Gioele Mirabelli, Dan O’Connell, Jessica Anne Doherty, Nikolay Petkov, Justin D. Holmes, Shih-Ya Lin, Fang-Liang Lu, C. W. Liu, and Ray Duffy, “Ni, Pt, and Ti stanogermanide formation on Ge0.92Sn0.08,” 5th joint EUROSOI – ULIS 2019 Conference, Grenoble, France, Apr. 2019
  21. Yu-Shiang Huang, Fang-Liang Lu, Hung-Yu Ye, Ya-Jui Tsou, Yi-Chun Liu, Chien-Te Tu, and C. W. Liu, “Novel Vertically-Stacked Tensily-Strained Ge0.85Si0.15 GAA n-Channels on a Si Channel with SS=76mV/dec, DIBL=36mV/V, and Ion/Ioff=1.2E7,” 2019 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, Apr. 2019
  22. Ya-Jui Tsou, Zong-You Luo, Chia-Che Chung, and C. W. Liu, “Thermal Modeling of FinFET-Driven Spin-Orbit Torque MRAM Considering Thermal Coupling and BEOL Effects,” IEDM MRAM workshop, San Francisco, California, Dec. 2018
  23. Zong-You Luo, Ya-Jui Tsou, and C. W. Liu, “Field-Free Spin-Orbit Torque Switching of pMTJ Utilizing Voltage-Controlled Magnetic Anisotropy and STT,” IEDM MRAM workshop, San Francisco, California, Dec. 2018
  24. M. H. Lee, K.-T. Chen, C.-Y. Liao, S.-S. Gu, G.-Y. Siang, Y.-C. Chou, H.-Y. Chen, J. Le, R.-C. Hong, Z.-Y. Wang, S.-Y. Chen, P.-G. Chen, M. Tang, Y.-D. Lin, H.-Y. Lee, K.-S. Li, and C. W. Liu, “Extremely Steep Switch of Negative-Capacitance Nanosheet GAA-FETs and FinFETs,” IEEE International Electron Devices Meeting (IEDM), San Francisco, California, Dec. 2018
  25. Fang-Liang Lu, Chung-En Tsai, Shih-Ya Lin, and C. W. Liu, “In-situ B-doped Epi-GeSn Layers on Ge-buffered Si by Chemical Vapor Deposition with High Activation (4.9x1020cm-3), High Sn Content (14%), and High Growth Rate Enhancement (24x),” 49th IEEE Semiconductor Interface Specialists Conference, San Diego, CA, Dec. 2018
  26. Hung-Yu Ye, Chia-Che Chung, and C. W. Liu, “Electron Mobility Enhancement by Tensile Strain in Germanium Nanowire NFETs considering surface roughness, channel dopant charge, interface charge, and phonon scattering,” 49th IEEE Semiconductor Interface Specialists Conference(SISC), San Diego, CA, Dec. 2018
  27. Chia-Chun Yen, An-Hung Tai, and C. W. Liu, “Quantitative Analysis of Interface Quality in Back-Channel-Etch Amorphous InGaZnO Thin Film Transistors,” International Electron Devices and Materials Symposium (IEDMS 2018), Keelung, Taiwan, Nov. 2018
  28. (invited) C. W. Liu, Yu-Shiang Huang, Fang-Liang Lu, and Hung-Yu Ye, “Ge/GeSn processes and transistor applications,” Americas International Meeting on Electrochemistry and Solid State Science (AiMES), Cancun, Mexico, Sept. 2018
  29. Jhih-Yang Yan, Chia-Che Chung, Sun-Rong Jan, H. H. Lin, W. K. Wan, M.-T. Yang, and C. W. Liu, “Comprehensive Thermal SPICE Modeling of FinFETs and BEOL with Layout Flexibility Considering Frequency Dependent Thermal Time Constant, 3D Heat Flows, Boundary/Alloy Scattering, and Interfacial Thermal Resistance with Circuit Level Reliability Evaluation,” Symposium on VLSI Technology (VLSI-Technology), Honolulu , Hawaii, Jun. 2018
  30. (invited) C. W. Liu, “Innovation enabling the semiconductor roadmap (半導體的創新之路),” 2018 ACS Industrial Forum: Semiconductor (2018 ACS 產業論壇-根植台灣:半導體產業的串連與革新), Hsinchu, Taiwan, Jun. 2018
  31. Chung-En Tsai, Fang-Liang Lu, Pin-Shiang Chen, and C. W. Liu, “Dopant Effects in Epitaxial GeSn Layers on Si by CVD,” 1st Joint ISTDM / ICSI 2018 Conference, Potsdam (Berlin), Germany, May 2018
  32. Chih-Hsiung Huang, Da-Zhi Chang, and C. W. Liu, “Annealing Effects on Al2O3/GeOx/Ge Stack with Al and Pt Electrodes,” 1st Joint ISTDM / ICSI 2018 Conference, Potsdam (Berlin), Germany, May 2018
  33. Hung-Yu Ye, Chia-Che Chung, I-Hsieh Wong, Huang-Siang Lan, C. W. Liu, “Mobility calculation of Ge nanowire junctionless NFETs with size and geometry dependence,” 2018 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), Hsinchu, Taiwan, Apr. 2018
  34. Pin-Shiang Chen, Shou-Chung Lee, A. S. Oates, and C. W. Liu, “BEOL TDDB Reliability Modeling and Lifetime Prediction Using Critical Energy to Breakdown,” IEEE International Reliability Physics Symposium, Burlingame, CA, Mar. 2018
  35. Matthew Freeman, Tzu-Ming Lu, Yen Chuang, Jiun-Yun Li, C. W. Liu, Jeremy Curtis, and Lloyd Engel, “Microwave Spectroscopy of Resistive Film Gated Higfets and Mosfets,” APS March Meeting, Los Angeles, CA, Mar. 2018
  36. 19. M. H. Lee, P.-G. Chen, S.-T. Fan, Y.-C. Chou, C.-Y. Kuo, C.-H. Tang, H.-H. Chen, S.-S. Gu, R.-C. Hong, Z.-Y. Wang, S.-Y. Chen, C.-Y. Liao, K.-T. Chen, S. T. Chang, M.-H. Liao, K.-S. Li, and C. W. Liu, “Ferroelectric Al:HfO2 Negative Capacitance FETs,” International Electron Devices Meeting (IEDM), p.565-568, San Francisco, Dec. 2017
  37. Chung-Yi Lin, H.-S Lan, and C. W. Liu, “Photoluminescence and electroluminescence of strained GeSn quantum wells,” 48th IEEE Semiconductor Interface Specialists Conference, San Diego, CA, Dec. 2017
  38. Fang-Liang Lu, Chung-En Tsai, Pin-Shiang Chen, and C. W. Liu, “Doping Effects on Sn Loss in Epi-GeSn on Si by CVD,” 48th IEEE Semiconductor Interface Specialists Conference, San Diego, CA, Dec. 2017
  39. Yu-Shiang Huang, Fang-Liang Lu, Ya-Jui Tsou, Chung-En Tsai, Chung-Yi Lin, Chih-Hao Huang, and C. W. Liu, “First Vertically Stacked GeSn Nanowire pGAAFETs with Ion=1850mA/mm (VOV=VDS=-1V) on Si by GeSn/Ge CVD Epitaxial Growth and Optimum Selective Etching,” International Electron Devices Meeting (IEDM), p.832-835, San Francisco, Dec. 2017
  40. (invited) C. W. Liu, I-H. Wong, F.-L. Lu, and Y.-S. Huang, “Epitaxial Ge/GeSn high mobility channel transistors,” 232nd Meeting of Electrochemical Society, National Harbor, MD, Oct. 2017
  41. Chia-Chun Yen, Zheng-Lun Feng, Chung-Sung Liao, and C. W. Liu, “Effects of Oxygen Flow Rate on the Reliability of Dual Channel Amorphous InGaZnO Thin Film Transistors,” International Electron Devices and Materials Symposium (IEDMS 2017), Hsinchu, Taiwan, Sept. 2017
  42. Chia-Chun Yen, Zheng-Lun Feng, and C. W. Liu, “Reliability Study of Amorphous InGaZnO Thin-film Transistors,” The 6th International Symposium on Next-Generation Electronics (ISNE 2017), Keelung, Taiwan, May 2017
  43. Fang-Liang Lu, Chung-En Tsai, Shih-Ya Lin, Chih-Chiang Chang, and C. W. Liu, “In-situ P-doped and B-doped epi-GeSn on thin Ge buffer layers on Si with low contact resistivity of 1.1x10-6 (GeSn:P) and 1.9x10-8 (GeSn:B) Ω-cm2,” 10th International Conference on Silicon Epitaxy and Heterostructures (ICSI-10), Coventry, UK, May 2017
  44. Meng-Chin Lee, Chun-Ti Lu, C. W. Liu, “Simulation of Interdigitated Back Contact Silicon Heterojunction Solar Cells,” 24th Symposium on Nano Device Technology (SNDT), Hsinchu, Taiwan, Apr. 2017
  45. (invited) C. W. Liu, Fang-Liang Lu, Yu-Shiang Huang, I-Hsieh Wong, “High Performance Ge and GeSn Epi Channels,” materials research society (MRS) spring meeting & exhibit, Phoenix, Arizona, Apr. 2017
  46. M. H. Lee, S.-T. Fan , C.-H. Tang , P.-G. Chen, Y.-C. Chou , H.-H. Chen , J.-Y. Kuo , M.-J. Xie, S.-N. Liu , M.-H. Liao , C.-A. Jong , K.-S. Li , M.-C. Chen , and C. W. Liu, “Physical Thickness 1.x nm Ferroelectric HfZrOx Negative Capacitance FETs,” International Electron Devices Meeting (IEDM), p.306-309, San Francisco, Dec. 2016
  47. I-Hsieh Wong, Fang-Liang Lu, Shih-Hsien Huang, Hung-Yu Ye, Chun-Ti Lu, Jhih-Yang Yan, Yu-Cheng Shen, Yu-Jiun Peng, Huang-Siang Lan, and C. W. Liu, “High Performance Ge Junctionless Gate-all-around NFETs with Simultaneous Ion =1235 mA/mm at VOV=VDS=1V, SS=95 mV/dec, high Ion/Ioff=2E6, and Reduced Noise Power Density using S/D Dopant Recovery by Selective Laser Annealing,” International Electron Devices Meeting (IEDM), p.842-845, San Francisco, Dec. 2016
  48. Yu-Shiang Huang, Chih-Hsiung Huang, Fang-Liang Lu, Chung-Yi Lin, Hung-Yu Ye,I-Hsieh Wong, Sun-Rong Jan, Huang-Siang Lan, C. W. Liu, Yi-Chiau Huang, Hua Chung, Chorng-Ping Chang, Schubert S. Chu, and Satheesh Kuppurao, “Record High Mobility (428cm2/V-s) of CVD-grown Ge/Strained Ge0.91Sn0.09 /Ge Quantum Well p-MOSFETs,” International Electron Devices Meeting (IEDM), p.822-825, San Francisco, Dec. 2016
  49. Jhih-Yang Yan, Sun-Rong Jan, Yu-Jiun Peng, H. H. Lin, W. K. Wan, Y.-H. Huang, Bigchoug Hung, K.-T. Chan, Michael Huang, M.-T. Yang, and C. W. Liu, “Thermal Resistance Modeling of Back-end Interconnect and Intrinsic FinFETs, and Transient Simulation of Inverters with Capacitive Loading Effects,” International Electron Devices Meeting (IEDM), p.898-901, San Francisco, Dec. 2016
  50. Chung-Yi Lin, Fang-Liang Lu, C. W. Liu, Yi-Chiau Huang, Hua Chung, and Chorng-Ping Chang, “Passivation and photo/electro luminescence of Ge/GeSn/Ge quantum wells,” 47th IEEE Semiconductor Interface Specialists Conference, San Diego, CA, Dec. 2016
  51. Fang-Liang Lu, I-Hsieh Wong, Shih-Hsien Huang, and C. W. Liu, “Tensile strain recovery and dopant re-activation using laser annealing,” 47th IEEE Semiconductor Interface Specialists Conference, San Diego, CA, Dec. 2016
  52. Chia-Chun Yen, Zheng-Lun Feng, C. W. Liu, “Mobility Enhancement of Back-Channel-Etch Amorphous InGaZnO Thin-film Transistors by Gate Control,” International Electron Devices and Materials Symposium (IEDMS 2016), Taipei, Taiwan, Nov. 2016
  53. (invited) C. W. Liu, Jhih-Yang Yan, and Sun-Rong Jan, “Modeling and Simulation of TSV Induced Keep-out Zone Using Silicon Data,” 13th International Conference on Solid-State Integrated Circuit & Technology (ICSICT 2016), Hangzhou, China, Oct. 2016
  54. (invited) C. W. Liu, F.-L. Lu, S.-H. Huang, “Heavily Phosphorus-doped Si and Ge by Chemical Vapor Deposition,” 21st International Conference on Ion Implantation Technology, Tainan, Taiwan, Sept. 2016
  55. F. -L. Lu, S. -H. Huang, and C. W. Liu, “Heavily Phosphorus-doped Si0.1Ge0.9 and Ge on Si with Low Contact Resistivity by Chemical Vapor Deposition and Laser Annealing,” 8th International SiGe Technology and Device Meeting (ISTDM), Nagoya, Japan, Jun. 2016
  56. S.-H. Huang, F.-L. Lu, S. V. Kravchenko, and C. W. Liu, “Record High Electron Mobility of 2.4 × 106 cm2/V s in Strained Si by Ultra-low Background Doping,” 8th International SiGe Technology and Device Meeting (ISTDM), Nagoya, Japan, Jun. 2016
  57. Yu-Shiang Huang, Chih-Hao Huang, Chih-Hsiung Huang, Fang-Liang Lu, Da-Zhi Chang, Chung-Yi Lin, I-Hsieh Wong, Sun-Rong Jan, Huang-Siang Lan, C. W. Liu, Yi-Chiau Huang, Hua Chung, Chorng-Ping Chang, Schubert S. Chu, and Satheesh Kuppurao, “Strained Ge0.91Sn0.09 Quantum Well p-MOSFETs,” 22th IEEE Silicon Nanoelectronics Workshop (SNW), Honolulu, USA, Jun. 2016
  58. Chih-Hsiung Huang, Sheng-Ting Fan, Pin-Shiang Chen, Raman Sankar, F. C. Chou and C. W. Liu, “Atomically Flat Metal-Insulator-Metal Capacitors with Enhanced Linearity,” 22th IEEE Silicon Nanoelectronics Workshop (SNW), Honolulu, USA, Jun. 2016
  59. X. Zhu, T.-H. Cheng, and C. W. Liu, “Strain-enhanced Inhomogeneity Effects on CIGS Solar Modules,” The 5th International Symposium on Next-Generation Electronics (ISNE 2016), Hsinchu, Taiwan, May 2016
  60. D. Laroche, S.-H. Huang, E. Nielsen, Y. Chuang, J.-Y. Li, C. W. Liu, and T. M. Lu, “Scattering mechanisms in shallow undoped Si/SiGe quantum wells,” APS March Meeting, Baltimore, Maryland, Mar. 2016
  61. T. M. Lu, D. Laroche, S.-H. Huang, E. Nielsen, Y. Chuang, J.-Y. Li, and C. W. Liu, “Electron bilayers in an undoped Si/SiGe double-quantum-well heterostructure,” APS March Meeting, Baltimore, Maryland, Mar. 2016
  62. Jhih-Yang Yan, Sun-Rong Jan, Yi-Chung Huang, Huang-Siang Lan, C. W. Liu, Y.-H. Huang, Bigchoug Hung, K.-T. Chan, Michael Huang, and M.-T. Yang, “Compact Modeling and Simulation of TSV with Experimental Verification,” International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, Taiwan, 2016
  63. S. -H. Huang, F. -L. Lu, and C. W. Liu, “Low Contact Resistivity (1.5×10-8 Ω-cm2) of Phosphorus-doped Ge by In-situ Chemical Vapor Deposition Doping and Laser Annealing,” International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, Taiwan, 2016
  64. Chih-Hsiung Huang, Yu-Shiang Huang Tzu-Yao Lin, and C. W. Liu, “Reduced Interface Trap Density by Al Capping on Al2O3 Stack on Ge,” 46th IEEE Semiconductor Interface Specialists Conference, Arlington, Virginia, Dec. 2015
  65. Yu-Shiang Huang, Chih-Hsiung Huang, Chung-Yi Lin and C. W. Liu, “Enhanced performance of Y-GeO2/Ge Gate Dielectric by O2 Post-deposition Annealing and Al Capping,” 46th IEEE Semiconductor Interface Specialists Conference, Arlington, Virginia, Dec. 2015
  66. Chung-Yi Lin, Shih-Hsien Huang, Chun-Ti Lu, C. W. Liu, ,Yi-Chiau Huang, Hua Chung, and Chorng-Ping Chang, “Surface Passivation of Ge/GeSn/Ge Using Atomic Layer Deposited SiO2 and Al2O3,” 46th IEEE Semiconductor Interface Specialists Conference, Arlington, Virginia, Dec. 2015
  67. Xiaobo Zhu and C. W. Liu, “Effects of fluctuation on Cu(In,Ga)Se2 solar modules using 3D simulation,” 25th International Photovoltaic Science and Engineering Conference (PVSEC-25), Busan, Korea, Nov. 2015
  68. Chun-Ti Lu, Wenchao Wu and C. W. Liu, “3D Simulation and Analysis of Crystalline Silicon Solar Cell-to-Module Optical Gain,” 25th International Photovoltaic Science and Engineering Conference (PVSEC-25), Busan, Korea, Nov. 2015
  69. C.W. Liu, I.-H. Wong, S.-H. Huang, C.-H. Huang and S.-H. Hsu, “Advanced Germanium Channel Transistors,” 11th International Conference on ASIC (ASICON 2015), Chengdu, China, Nov. 2015
  70. C. W. Liu, Shih-Hsien Huang, and I-Hsieh Wong, “High mobility Si and Ge,” SemiconNano, Hsinchu, Taiwan, Sept. 2015
  71. Fang-Liang Lu, Shih-Hsien Huang, C. W. Liu, “High electrically active phosphorus concentration and low contact resistance of Ge on Si by in-situ doping and laser annealing,” 22nd Symposium on Nano Device Technology (SNDT), Hsinchu, Taiwan, Sept. 2015
  72. C. W. Liu, I-Hsieh Wong, Shih-Hsien Huang and Chih-Hsiung Huang, “3D Ge nanowire transistors,” IEEE Nanotechnology Materials and Devices Conference (NMDC), Anchorage, Alaska, Sept. 2015
  73. C. W. Liu, I-Hsieh Wong, Yen-Ting Chen and Shu-Han Hsu, “High Mobility Ge Channel Transistors,” Advanced Materials World Congress, Stockholm, Sweden, Aug. 2015
  74. I-Hsieh Wong, Yen-Ting Chen, Shih-Hsien Huang, Wen-Hsien Tu, Chih-Hsiung Huang, Yu-Sheng Chen, Tai-Cheng Shieh and C. W. Liu, “Junctionless Gate-all-around pFETs on Si with In-situ Doped Ge Channel,” International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, Taiwan, 2015
  75. Shi Luo, Eason Lin, Hai Xiao, Jiun-Haw Lee, C. W. Liu, William Goddard, and Julia R. Greer, “Effects of Trioctylphosphine Sulfide Passivation on Na Transport within CuInSe2Thin Films,” MRS spring meeting, San Francisco, 2015
  76. C. W. Liu, I-Hsieh Wong, Yen-Ting Chen, Wen-Hsien Tu, Shih-Hsien Huang, and Shu-Han Hsu, “Ge Gate-All-Around FETs on Si,” IEEE 12th International Conference on Solid-State and Integrated Circuit Technology (IEEE-ICSICT), Guilin, China, Oct. 2014
  77. C. W. Liu, Y.-T Chen, and S.-H Hsu, “Gate-all-around Ge FETs,” 226th Meeting of Electrochemical Society, Cancun, Mexico, Oct. 2014
  78. C. W. Liu, “High Mobility Ge Channel Transistors,” ISMEN (International Symposium on Materials for Enabling Nanodevices), Tainan, Taiwan, Sept. 2014
  79. C. W. Liu, Yen-Yu Chen, and Wen-Hsien Tu, “SiGe/Ge epi films with photonic and electrical applications,” Science & Applications of Thin Films, Conference & Exhibition (SATF 2014), Turkey, Sept. 2014
  80. Yen-Yu Chen, Chia-Chun Yen, Yi-Hsin Nien, Wen-Wei Hsu, Qing-Qi Chen, and C. W. Liu, “Reabsorption effects on direct band gap emission from germanium light emitting diodes,” The 11th International Conference on Group IV Photonics, Paris, Aug. 2014
  81. Yen-Yu Chen, T.-Y. Chang, C.-C. Yen, and C. W. Liu, “Enhanced light extraction of Ge by GeO2 micro hemispheres,” 7th International SiGe Technology and Device Meeting (ISTDM), Singapore, Jun. 2014
  82. Wen-Ling Lu, J.-S. Liu and C. W. Liu, “Simulated Analysis of Interdigitated Back Contact Solar Cells,” 21st Symposium on Nano Device Technology (SNDT), Hsinchu, Taiwan, May 2014
  83. Chun-Ti Lu, X. Zhu and C. W. Liu, “Coupled optical and electrical simulations of Cu(In,Ga)Se2 solar cells,” 21st Symposium on Nano Device Technology (SNDT), Hsinchu, Taiwan, May 2014
  84. Chun-Ti Lu, T.-M. Chao and C. W. Liu, “Excess carrier recombination in amorphous silicon solar cells due to deep texture,” 21st Symposium on Nano Device Technology (SNDT), Hsinchu, Taiwan, May 2014
  85. I-Hsieh Wong, Yen-Ting Chen, Shih-Hsien Huang, Wen-Hsien Tu, Yu-Sheng Chen, Tai-Cheng Shieh, Tzu-Yao Lin, Huang-Siang Lan, and C. W. Liu, “In-situ Doped and Tensily Stained Ge Junctionless Gate-all-around nFETs on SOI Featuring Ion = 828 uA/um, Ion/Ioff ~ 1E5, DIBL= 16-54 mV/V, and 1.4X External Strain Enhancement,” International Electron Devices Meeting (IEDM), p.239-242, 2014
  86. Chun-Ti Lu, Qing-Qi Chen, and C. W. Liu, “Al2O3/TiO2 bilayers as passivation and antireflection coating on silicon,” 45th Semiconductor Interface Specialists Conference, 2014
  87. H.-S. Lan, and C. W. Liu, “Electron Ballistic Current Enhancement of Ge1-xSnx FinFETs,” International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, Taiwan, 2014
  88. Hung-Chih Chang, Pin-Shiang Chen, Fu-Liang Yang, and C. W. Liu, “Strain Response of Monolayer MoS2 in The Ballistic Regime,” International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, Taiwan, 2014
  89. Y. -T. Chen, H. -C. Chang, I. -S. Wong, C. -M. Lin, H. -C. Sun, H. -J. Ciou, W. -T. Yeh, S. -J. Lo, C. W. Liu, Chenming Hu, and Fu-Liang Yang, “EUV Degradation of High Performance Ge MOSFETs,” International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, Taiwan, Apr. 2013
  90. Tsun-Hsin Wong, Carissa Eisler, Chris Chen, Jeff Bosco, Daisuke Ryuzaki, Wen-Wei Hsu, C.W. Liu, Chi-Feng Lin, Tien-Lung Chiu, Jiun-Haw Lee, Chuang-Chuang Tsai, and Harry A. Atwater, “Surface Passivation of CuInSe2 with Trioctylphosphine Sulfide,” MRS spring meeting 2013, 2013
  91. Jhih-Yang Yan, Pin-Shiang Chen, Jiun-Ian Pai, Wen-Wei Hsu and C. W. Liu, “The Incorporation of Electromagnetic Effects on Through Silicon Vias in TCAD Simulation,” International Semiconductor Device Research Symposium, 2013
  92. Pin-Shiang Chen, Hung-Chih Chang, Jhih-Yang Yan and C. W. Liu, “Strain Response of Monolayer MoS2 under Ballistic Limit,” International Semiconductor Device Research Symposium, 2013
  93. I-Hsieh Wong, Yen-Ting Chen, Shih-Hsien Huang, Wen-Hsien Tu, Chih-Hsiung Huang, Yu-Sheng Chen, Chun-Liu Chu, Shu-Han Hsu and C. W. Liu, “High Performance Junctionless In-situ Doped Ge Gate-all-around PFETs on Si,” International Semiconductor Device Research Symposium, 2013
  94. Chih-Hsiung Huang, Cheng-Ming Lin, Hung-Chih Chang and C. W. Liu, “Post-Gate Fluorine Incorporation by CF4 Plasma on Very High  Tetragonal ZrO2/Ge Gate Stack with Ultrathin EOT of 0.4 nm,” 44th Semiconductor Interface Specialists Conference, 2013
  95. Ya-Shiun Wu ,Yen-Yu Chen , C.-H. Huang, and C. W. Liu, “High efficient N-type solar cells using ion implanted emitters and back surface field,” 23rd International Photovoltaic Science and Engineering Conference (PVSEC-23), 2013
  96. C. W. Liu, Hung-Chih Chang, Yen-Ting Chen, Wen-Hsien Tu, I-Hsieh Wong, Shu-Han Hsu, and Chun-Lin Chu, “3D Ge transistors,” IEEE Nanotechnology Materials and Devices Conference (IEEE-NMDC), Tainan, Taiwan, 2013
  97. Hung-Chih Chang, Pin-Shiang Chen, and C. W. Liu, “Tensile Strain Responses and Dielectric Effect on Monolayer MoS2,” IEEE Nanotechnology Materials and Devices Conference (IEEE-NMDC), Tainan, Taiwan, 2013
  98. Jheng-Sin Liu, Wen-Ling Lu, and C.W. Liu, “Three-dimensional simulation of metal grid effects on Si solar cells,” 23rd International Photovoltaic Science and Engineering Conference (PVSEC-23), 2013
  99. I-Hsieh Wong, Yen-Ting Chen, Huang-Jhih Ciou, Yu-Sheng Chen, Jhih-Yang Yan and C.W. Liu, “Mobility Strain Response and Low Temperature Characterization of Ge p-MOSFETs,” 71st Annual Device Research Conference, 2013
  100. Yen-Yu Chen, C.-H. Huang, W.-S. Ho, M.-H. Tsai, and C. W. Liu, “Fabrication and analysis of 18.2% efficient solar cell with co-activation of ion implanted emitter and back surface field,” 20th Symposium on Nano Device Technology (SNDT), Hsinchu, Taiwan, 2013
  101. H. -C. Chang, S.-H Hsu, C.-L Chu, W.-H Tu, Y.-T Chen, P.-J Sung, G.-Li Luo, and C. W. Liu, “Germanium Gate-All-Around FETs on SOI,” 222th Meeting of Electrochemical Society, Honolulu, Hawaii, Oct. 2012
  102. C. W. Liu, Hung-Chih Chang, Cheng-Ming Lin, and Yen-Ting Chen, “Planar and 3D Ge FETs,” 11th International Conference on Solid-State and Integrated-Circuit Technology (ICSICT), Xi’an, China, Oct. 2012
  103. W.-S. Ho, M.-H. Tsai, Y.-Y. Chen, W.-W. Hsu , C.-L. Chu, Y.-Y. Chen, S.-W. Tan, and C. W. Liu, “Ion implanted boron emitter n-Si solar cells with wet oxide and Al2O3 passivation,” SuNEC 2012 - Sun New Energy Conference, Sicily, Italy, Sept. 2012
  104. Yu-Chun Yin, Hung-Chih Chang, and C.W.Liu, “Low Leakage Junctionless Vertical Pillar Transistor,” International Conference on Solid State Devices and Materials (SSDM), Kyoto, Japan, Sept. 2012
  105. Wei Zheng, Zhe Chuan Feng, Ling-Yun Jang, Rui Sheng Zheng, Chih-Fang Huang, and C. W. Liu, “Angular dependence of X-ray absorption from 3C-SiC/Si,” 2nd Cross-Strait Synchrotron Radiation Research Symposium, Hsinchu, Taiwan, Aug. 2012
  106. Y. –Y. Chen, Y. –H. Nien, Y. –H. Chi, and C. W. Liu, “Reabsorption Effects on Ge Photoluminescence,” 6th International SiGe Technology and Device Meeting (ISTDM), Berkeley, California, Jun. 2012
  107. Wei Zheng, Rui Sheng Zheng, Hong Lei Wu, Fa Di Li, C. W. Liu and Zhe Chuan Feng, “Temperature Dependence of Raman Scattering from hexagonal AlN whisker,” Symposium on Nano Device Technology (SNDL), Hsinchu, Taiwan, Apr. 2012
  108. H.-C. Sun, J. Y. Chen, Y.-J. Yang, T.-M. Chao, W.-D. Chen, C. W. Liu, W.-Y. Lin, C.-C. Bi, and C.-H. Yeh, “Enhanced recovery of light-induced degradation on the micromorph solar cells by reverse bias,” International Conference on Renewable Energies and Power Quality (ICREPQ'12), Santiago de Compostela, Spain, Mar. 2012
  109. C. W. Liu, H.-S. Lan, and Y.-T. Chen, “Electron scattering in Ge metal-oxide-semiconductor field-effect transistors and mobility strain response,” CSTIC, Shanghai, China, Mar. 2012
  110. Cheng-Ming Lin, Hung-Chih Chang, Yen-Ting Chen, I-Hsieh Wong, Huang-Siang Lan, Shih-Jan Luo, Jing-Yi Lin, Yi-Jen Tseng, C. W. Liu, Chenming Hu, and Fu-Liang Yang, “Interfacial layer-free ZrO2 on Ge with 0.39-nm EOT, κ~43, ~2×10-3 A/cm2 gate leakage, SS =85 mV/dec, Ion/Ioff =6×105, and high strain response,” International Electron Devices Meeting (IEDM), p.509-512, 2012
  111. Shu-Han Hsu, Hung-Chih Chang, Chun-Lin Chu, Yen-Ting Chen, Wen-Hsien Tu, Fu Ju Hou, Chih Hung Lo, Po-Jung Sung, Bo-Yuan Chen, Guo-Wei Huang, Guang-Li Luo, C. W. Liu, Chenming Hu, and Fu-Liang Yang, “Triangular-channel Ge NFETs on Si with (111) Sidewall-Enhanced Ion and Nearly Defect-free Channels,” International Electron Devices Meeting (IEDM), p.525-528, 2012
  112. C.-H. Shen, J.-M. Shieh, T.-T. Wu, U.-P. Chiou, H.-C. Kuo, P. Yu, T.-C. Lu, Y.-L. Chueh, C.W. Liu, C. Hu, and F.-L. Yang, “Hybrid CIS/Si Near-IR Sensor and 16% PV Energy-Harvesting Technology,” International Electron Devices Meeting (IEDM), p.279-282, 2012
  113. Y. –Y. Chen, Y. –H. Nien, Y. –H. Chi, and C. W. Liu, “Reabsorption of Ge direct band emission,” 19th Symposium on Nano Device Technology (SNDT), Hsinchu, Taiwan, 2012
  114. C. W. Liu, “N-type Mono Si cells,” IEDMS, Taipei, Taiwan, Nov. 2011
  115. H. -C. Chang, S. -C. Lu, W. -C. Chang, T. -P. Chou, H. -S. Lan, C. -M. Lin, and C. W. Liu, “Theoretical and Experimental Demonstration of Electronic State of GeO2,” 220th Meeting of Electrochemical Society, Boston, Massachusetts, Oct. 2011
  116. Z. C. Feng, Y.-L. Tu, K.-Y. Lee, C. W. Liu, C.-C. Tin, Z. L. Li, C. R. Ding, and Z. R. Qiu, “Raman Scattering and X-Ray Absorption from CVD Grown 3C-SiC on Si,” 2011 International Conference on Silicon Carbideand Related Materials (ICSCRM 2011), Cleveland, Ohio USA, Sept. 2011
  117. H.-L. Chang, H.-C. Li, C. W. Liu, F. Chen, and M.-J. Tsai, “A parameterized SPICE macromodel of resistive random access memory and circuit demonstration,” IEEE 2011 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Osaka, Japan, Sept. 2011
  118. Wen.-Hsien Tu, C.-H Lee, and C.W.Liu, “Strained GeSi Layer Grown on (110) Silicon-On-Insulator,” 7th International Conference on Silicon Epitaxy and Heterostructures (ICSI-7), Leuven, Belgium, Aug. 2011
  119. C. W. Liu, T. -H. Cheng, Y. –Y. Chen, S. -R. Jan, C. -Y. Chen, S. T. Chan, Y. –H. Nien, Yuji Yamamoto, and Bernd Tillack, “Direct and indirect radiative recombination from Ge,” 7th International Conference on Silicon Epitaxy and Heterostructures (ICSI-7), Leuven, Belgium, Aug. 2011
  120. T. -H. Cheng , J. Y. Chen , W. W. Hsu , C. W. Liu, C. Y. Hsiao, and H. R. Tseng, “Defect Related Negative Temperature Coefficiency of Short Circuit Current of Cu(In,Ga)Se2 Solar Cells,” 37th IEEE Photovoltaic Specialist Conference, Seattle, Washington, Jun. 2011
  121. Y.-Y. Chen, Wei-Chiang Chang, S. T. Chan, and C. W. Liu, “Germanium oxide passivation for Ge absorber,” 37th IEEE Photovoltaic Specialist Conference, Seattle, Washington, Jun. 2011
  122. W. S. Ho, Y.-H. Huang, W.-W. Hsu, Y.-Y. Chen, Y.-Y. Chen, and C. W. Liu, “Ion Implanted Boron Emitter N-Silicon Solar Cells With Wet Oxide Passivation,” 37th IEEE Photovoltaic Specialist Conference, Seattle, Washington, Jun. 2011
  123. Y.-J. Yang, J. Y. Chen, H.-C. Sun, C. W. Liu, M.-H. Tseng, C.-C. Bi, and C.-H. Yeh, “Microcrystalline silicon solar cells with heterojunction structure,” 37th IEEE Photovoltaic Specialist Conference, Seattle, Washington, Jun. 2011
  124. C. W. Liu , T. -H. Cheng , C. -Y. Chen , and S. T. Chan, “Photoluminescence and Electroluminescence from Ge,” symposium on Si-based materials and devices, Xiamen, China, May 2011
  125. W.-W. Hsu, J. Y. Chen, T. -H. Cheng, S. C. Lu, S.-T. Chan, W. S. Ho, and C. W. Liu, “Surface Passivation of Cu(In,Ga)Se2 by Atomic Layer Deposited Al2O3,” Photovoltaic Technical Conference - Thin Film & Advanced Solutions 2011, Aix-en-Provence, France, May 2011
  126. 17. H.-C. Sun, J. Y. Chen, Y.-J. Yang, T.-M. Chao, C. W. Liu, W.-Y. Lin, C.-C. Bi, and C.-H. Yeh, “applying reverse bias to recover the light-induced degradation of amorphous silicon germanium solar cells,” Photovoltaic Technical Conference - Thin Film & Advanced Solutions 2011, Aix-en-Provence, France, May 2011
  127. H.-L. Chang, H.-C. Li, C. W. Liu, F. Chen, and M.-J. Tsai, “Physical mechanism of HfO2-based bipolar resistive random access memory,” 2011 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), Hsinchu, Taiwan, Apr. 2011
  128. C. W. Liu , T. -H. Cheng , C. -Y. Chen , and S. T. Chan, “Enhancements of direct band gap transition from Ge,” 2011 Taiwan-USAF Nanoscience Workshop, Seattle, U.S.A, Apr. 2011
  129. W.-W. Hsu, C.-Y. Lai, W. S. Ho, and C. W. Liu, “Insulating Halos to Boost Planar CMOS Performance,” 18th Symposium on Nano Device Technology (SNDT), Hsinchu, Taiwan, Apr. 2011
  130. C. W Liu, “high mobility channels,” 2011 International Workshop on Exploratory Research for Semiconductor Devices and VLSI Packaging, Beijing, China, Mar. 2011
  131. C. W. Liu, “high mobility materials for technologies and physics,” 5th International Workshop on High k dielectrics on high carrier mobility semiconductors, Hsinchu, Taiwan, 2011
  132. Yu-Jen Hsiao, Ting-Jen Hsueh, Jia-Min Shieh, Yu-Ming Yeh, Chien-Chih Wang, Bau-Tong Dai, Wen-Wei Hsu, Jing-Yi Lin, Chang-Hong Shen, C. W. Liu, Chenming Hu and Fu-Liang Yang, “Bifacial CIGS (11% Efficiency)/Si Solar Cells by Cd-free and Sodium-free Green Process Integrated with CIGS TFTs,” International Electron Devices Meeting (IEDM), 2011
  133. Shu-Han Hsu, Chun-Lin Chu, Wen-Hsien Tu, Yen-Chun Fu, Po-Jung Sung, Hung-Chih Chang, Yen-Ting Chen, Li-Yaw Cho, Guang-Li Luo, William Hsu, C. W. Liu, Chenming Hu, and Fu-Liang Yang, Chenming Hu, and Fu-Liang Yang, “Nearly Defect-free Ge Gate-All-Around FETs on Si Substrates,” International Electron Devices Meeting (IEDM), 2011
  134. C. W. Liu, “High mobility for physics and technologies,” the III Nanotechnology International Forum, Moscow, Nov. 2010
  135. H.-C. Sun, W.-D. Chen, T. H. Cheng, Y.-J. Yang and C. W. Liu, “Recovery of light induced degradation of micromorph solar cells by reverse bias,” 218th Meeting of Electrochemical Society, Las Vegas, Nevada, Oct. 2010
  136. T. -H. Cheng , K.-L. Peng, C. -Y. Ko , C.-Y. Chen , S. T. Chan, and C. W. Liu, “Enhancements of Direct Band Radiative Recombination from Ge,” 218th Meeting of Electrochemical Society, Las Vegas, Nevada, Oct. 2010
  137. T. -H. Cheng , W. W. Hsu , C.Y. Huang, J.-A. Lu, J. Y. Chen, and C. W. Liu, “Photoluminescence Characterization and Passivation of CIGS Absorber,” 218th Meeting of Electrochemical Society, Las Vegas, Nevada, Oct. 2010
  138. C. -M. Lin, Y. -T. Chen, C.-H. Lee, H.-C. Chang, W. -C. Chang, and C. W. Liu, “Enhanced Voltage Linearity of HfO2 Metal-Insulator-Metal Capacitors by H2O Prepulsing Treatment on Bottom Electrode,” 218th Meeting of Electrochemical Society, Las Vegas, Nevada, Oct. 2010
  139. S. -R. Jan, C. -H. Lee, T. -H. Cheng , Y. -Y. Chen, K. -L. Peng, S. -T. Chan, C. W. Liu, Y. Yamamoto, and B. Tillack, “Extrinsic effects of indirect radiative transition of Ge,” 218th Meeting of Electrochemical Society, Las Vegas, Nevada, Oct. 2010
  140. C. -H. Lee, W. H. Tu, C. M. Lin, H. T. Chang, S. W. Lee, and C. W. Liu, “Surface Orientation Effects on SiGe Quantum Dots and Nanorings Formation,” 218th Meeting of Electrochemical Society, Las Vegas, Nevada, Oct. 2010
  141. W. S. Ho, Y.-Y. Chen, T.-H. Cheng, J.-Y. Chen, J.-A. Lu, P.-L. Huang, and C. W. Liu, “Thermal oxide, Al2O3 and amorphous-Si passivation layers on silicon,” 35th IEEE Photovoltaic Specialist Conference, Hawaiian Convention Center in Waikiki, Hawaii, Jun. 2010
  142. C. -H. Lee, W. -H. Tu, H. T. Chang, Y. -C. Fu, S. W. Lee, and C. W. Liu, “SiGe quantum dots and nanorings on Si(111),” 5th International SiGe Technology and Device Meeting (ISTDM), Stockholm, Sweden, May 2010
  143. T. M. Lu, C. -H. Lee, D. C. Tsui, and C. W. Liu, “High mobility two-dimensional electron gas in strained Si,” 5th International SiGe Technology and Device Meeting (ISTDM), Stockholm, Sweden, May 2010
  144. T. M. Lu, W. Pan, D. C. Tsui, C. -H. Lee, and C. W. Liu, “In-plane magnetoresistivity of high-mobility two-dimensional electrons in an undoped Si/SiGe quantum well at 20 mK,” March Meeting of The American Physical Society, Portland, Oregon, USA, Mar. 2010
  145. T. M. Lu, W. Pan, D. C. Tsui, C. -H. Lee, and C. W. Liu, “In-plane magnetoresistivity of high-mobility two-dimensional electrons in an undoped Si/SiGe quantum well at 20 mK,” March Meeting of the American Physical Society, Portland, Oregon, USA, Mar. 2010
  146. ., “Laser Annealing and Local Heating Effects during Raman Measurement of Hydrogenated Amorphous Silicon Films,” ECS Transactions - CSTIC 2010, Vol. 27, Silicon Technology for Electronic and Photovoltaic Applications, 2010
  147. Yen Chun Fu, William Hsu, Yen-Ting Chen, Huang-Siang Lan, Cheng-Han Lee, Hung-Chih Chang, Hou-Yun Lee, Guang-Li Luo, Chao-Hsin Chien, C. W. Liu, Chenming Hu, and Fu-Liang Yang, “High mobility high on/off ratio C-V dispersion-free Ge n-MOSFETs and their strain response,” International Electron Devices Meeting (IEDM), 2010
  148. Y.-T. Chen, C.-F. Huang, H.-C. Sun, T.-Y. Wu, C.-Y. Ku, C. W. Liu, Y.-C. Hsu, and J.-S. Chen, “A Design of 1T Memory Cells Using Channel Traps for Long Data Retention Time,” 2009 International Semiconductor Device Research Symposium (ISDRS), Maryland University, Dec. 2009
  149. H.-C. Chang, P.-S. Kuo, C.-Y. Peng, Y.-T. Chen, W.-Y. Chen, and C. W. Liu, “Optimization of A Saddle-like FinFET by Device Simulation for Sub-50nm DRAM Application,” 2009 International Semiconductor Device Research Symposium (ISDRS), Maryland University, Dec. 2009
  150. T.-H. Cheng, C. -Y. Ko, C.-Y. Chen, K.-L. Peng, C. W. Hsu, P.K. Chiang, and C. W. Liu, “Luminescence from monolithic GaInP/GaInAs/Ge triple-junction solar cells,” 19th International Photovoltaic Science and Engineering Conference and Exhibition , ICC JEJU, Korea, Nov. 2009
  151. W.S. Ho, J.-F. Liao, Y.-Y. Chen, C.-A. Lu, W.-D. Chen, W.-F. Tsai, C.-F. Ai, and C. W. Liu, “Passivation of solar cell by Plasma Immersion Ion Implantation,” 19th International Photovoltaic Science and Engineering Conference and Exhibition , ICC JEJU, Korea, Nov. 2009
  152. C.-F. Huang, H.-C. Sun, P.-S. Kuo, Y.-T. Chen, C. W. Liu, Y.-J. Hsu, and J.-S. Chen, “Dynamic Bias Temperature Instability of p-channel Polycrystalline Silicon Thin-film Transistors,” 16th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA2009), Suzhou, China, Jul. 2009
  153. C.-H. Lee, Y. -Y. Shen, Y. Y. Chen, H.-T. Chang, S. W. Lee, and C. W. Liu, “SiGe Quantum Dots and Quantum Rings on Si(110) by Ultra-High Vacuum Chemical Vapor Deposition,” ICSI-6, p. 43, Los Angeles, USA, May 2009
  154. P. S. Chen, S. W. Lee, and C. W. Liu, “Enhanced relaxation and thermal stability in thin SiGe films with an inserted Si1-yCy layer,” ICSI-6, p. 13, Los Angeles, USA, May 2009
  155. C.-H. Lin and C. W. Liu, “Relation between Currents and Positions of Delta-Doped Layers in SiGe QDIPs,” ICSI-6, p. 113, Los Angeles, USA, May 2009
  156. T.-H. Cheng, P.-S. Kuo, C.-Y. Ko, C.-Y. Chen, and C. W. Liu, “Minority carrier lifetime measurement of monocrystalline silicon solar cell by temporal electroluminescence method,” ICSI-6, p. 125, Los Angeles, USA, May 2009
  157. C.-Y. Peng, Y.-H. Yang, C.-M. Lin, Y.-Y. Shen, M. H. Lee, and C. W. Liu, “The Process Strain Determination of Nickel Germanides by Raman Spectroscopy,” ICSI-6, p123, Los Angeles, USA, May 2009
  158. H. T. Chang, S. W. Lee, C.-H. Lee, S. L. Cheng, and C. W. Liu, “Ge redistribution of self-assembled Ge islands on Si (001) during annealing,” ICSI-6, p63, Los Angeles, USA, May 2009
  159. H.-L. Chang, H.-C. Chang, S.-C. Yang, H.-C. Tsai, H.-C. Li, and C. W. Liu, “Improved SPICE Macromodel of Phase Change Random Access Memory,” 2009 International Symposium on VLSI Design, Automation and Test (VLSI-DAT), Hsinchu, Taiwan, Apr. 2009
  160. T. -H. Cheng, K.-L. Peng, C.Y. Huang, W. D. Chen, and C. W. Liu, “Characterization of CIGS solar cell absorber,” IPVSEE 2009, Beijin, China, 2009
  161. G.-L. Luo, S.-C. Huang, C.-T. Chung, Dawei Heh, C.-H. Chien, C.-C. Cheng, Y.-J. Lee, W.-F. Wu, C.-C. Hsu, M.-L. Kuo, J.-Y. Yao, M.-N. Chang, C.-W. Liu, C.-M. Hu, C.-Y. Chang, and F.-L. Yang, “A Comprehensive Study of Ge1-xSix on Ge for the Ge nMOSFETs with Tensile Stress, Shallow Junctions and Reduced Leakage,” IEDM 2009, 2009
  162. Y.-Y. Chen, W.-S. Ho, C.-H. Lee, Y.-H. Yang, W.-D. Chen, C. W. Liu, “The Ge1-xSnx MOS Infrared Photodetector,” ICSI-6, p114, 2009
  163. H.-C. Sun, C.-F. Huang, Y.-T. Chen, C. W. Liu, Y.-C. Hsu, C.-C. Shih, and J.-S. Chen, “A New NBTI Characterization Method on Polycrystalline Silicon Thin-Film Transistors,” 15th International Display Workshop (IDW), Vol. 2, pp. 659-662, Niigata, Japan, Dec. 2008
  164. C.-F. Huang, Y.-T. Chen, H.-C. Sun, C. W. Liu, Y.-C. Hsu, C.-C. Shih, and J.-S. Chen, “Bias Temperature Instability on Polycrystalline Silicon Thin-Film Transistors,” 2008 International Electron Devices and Materials Symposia (IEDMS), Taichung, Taiwan, Nov. 2008
  165. C.-H. Lee, C. M. Lin, Y. -Y. Sen, S. W. Lee P. Shushpannikov, R. V. Goldstein, and C. W. Liu, “SiGe Quantum Rings by Ultra-high Vacuum Chemical Vapor Deposition,” 2008 International Electron Devices and Materials Symposia (IEDMS), Taichung, Taiwan, Nov. 2008
  166. W. S. Ho, C.-H. Lin, P.-S. Kuo, W. W. Hsu, T.-H. Cheng, Y.-Y Chen and C. W. Liu, “Metal Oxide Semiconductor UV Sensor,” 7th IEEE Conference on Sensors, Lecce, Italy, Oct. 2008
  167. C.-F. Huang, Y.-T. Chen, H.-C. Sun, C. W. Liu, Y.-C. Hsu, C.-C. Shih, K.-C. Lin, and J.-S. Chen, “Comprehensive Study of Bias Temperature Instability on Polycrystalline Silicon Thin-Film Transistors,” 9th International Conference on Solid-State and Integrated-Circuit Technology (ICSICT), Beijing, China, Oct. 2008
  168. C.-Y. Peng, Y.-H. Yang, C.-M. Lin,Y.-J. Yang, C.-F. Huang, and C. W. Liu, “Process Strain Induced by Nickel Germanide on (100) Ge Substrate,” 9th International Conference on Solid-State and Integrated-Circuit Technology (ICSICT), Beijing, China, Oct. 2008
  169. S. W. Lee, H. T. Chang, C. -H. Lee, C. A. Chueh, S. -L. Cheng, W. -W. Wu, C. W. Liu, “Vertical Self-Alignment of SiGe Nanolenses on Si (001),” 214th Meeting of Electrochemical Society, Honolulu, Hawaii, Oct. 2008
  170. C.-H. Lee, C. M. Lin, S. W. Lee, P. Shushpannikov, R. V. Goldstein and C. W. Liu, “SiGe Quantum Rings by Ultra-high Vacuum Chemical Vapor Deposition,” 214th Meeting of Electrochemical Society, Honolulu, Hawaii, Oct. 2008
  171. C.-Y. Peng, C.-F. Huang, Y.-J. Yang, S. Chakraborty, Y.-H. Yang, C.-W. Lai, C. M. Lin and C. W. Liu, “Micro-Raman Studies on Nickel Germanides formed on (110) crystalline Ge,” 214th Meeting of Electrochemical Society, Honolulu, Hawaii, Oct. 2008
  172. C.-F. Huang, Y.-J. Yang, C.-Y. Peng, H.-C. Sun, C. W. Liu, Y.-C. Hsu, C.-C. Shih, and J.-S. Chen, “Polarity Change of Threshold Voltage Shifts for n-channel Polycrystalline Silicon Thin-Film Transistors Stressed by Negative Gate Bias,” 214th Meeting of Electrochemical Society, Honolulu, Hawaii, Oct. 2008
  173. S. W. Lee, C.-H. Lee, H. T. Chang, S. L. Cheng, and C. W. Liu, “Evolution of composition distribution of Si-capped Ge islands on Si (001),” 4th International Conference on Technological Advances of Thin Films & Surface Coatings (Thin Films 2008), Singapore, Jul. 2008
  174. W. S. Ho, Y.-H. Dai, Y. Deng, C.-H. Lin, C.-H. Lee, and C. W. Liu, “Flexible Ge-on-Polyimide Photodetector,” 4th International SiGe Technology and Device Meeting (ISTDM), Hsinchu, Taiwan, May 2008
  175. S.-W. Lee, H. T. Chang, C.A. Chueh, S. L. Cheng, C.-H. Lee, and C. W. Liu, “The Compositional Distribution of Ge Islands Grown by Ultra-High Vacuum Chemical Vapor Deposition,” 4th International SiGe Technology and Device Meeting (ISTDM), Hsinchu, Taiwan, May 2008
  176. H.-L. Chang, P.-S. Kuo, W.-C. Hua, C.-P. Lin, C.-Y. Lin, C. W. Liu, “Crosstalk Reduction Technique Between Dual SiGe Power Amplifiers,” 4th International SiGe Technology and Device Meeting (ISTDM), Hsinchu, Taiwan, May 2008
  177. C.-Y. Peng, C.-F. Huang, Y.-J. Yang, S. Chakraborty, and C. W. Liu, “Nickel Germanide Formation: Orientation and Temperature Effects,” 4th International SiGe Technology and Device Meeting (ISTDM), Hsinchu, Taiwan, May 2008
  178. Y.-J. Yang, M. H. Liao, C. W. Liu, Lingyen Yeh, T.-L. Lee, M.-S. Liang, “Superior n-MOSFET Performance by Optimal Stress Design,” 2007 International Semiconductor Device Research Symposium (ISDRS), Maryland University, Dec. 2007
  179. C.-F. Huang, Y.-J. Yang, C.-Y. Peng, H.-C. Sun, C. W. Liu, C.-W. Chao, and K.-C. Lin, “Comprehensive Study on Dynamic Bias Temperature Instability of p-channel Polycrystalline Silicon Thin-film Transistors,” 2007 International Semiconductor Device Research Symposium (ISDRS), Maryland University, Dec. 2007
  180. T.-H. Cheng, C. T. Lee, M. H. Liao, P. -S. Kuo, T. A. Hung, and C. W. Liu, “Electrically pumped Ge Laser at room temperature,” International Electron Devices Meeting (IEDM), Washington D.C., Dec. 2007
  181. C.-H. Lee, C.-Y. Yu, C. M. Lin, H. Lin, W.-H. Chang, and C. W. Liu, “Carrier Gas Effects on SiGe Growth by Ultra-high Vacuum Chemical Vapor Deposition,” 5th International Symposium on Control of Semiconductor Interfaces (ISCSI-V), Tokyo, Japan, Nov. 2007
  182. P.-S. Chen, S. W. Lee, M.-H. Lee, and C. W. Liu, “Formation of Relaxed SiGe on the buffer consisting of modified SiGe islands by Si Pre-mixing,” 5th International Symposium on Control of Semiconductor Interfaces (ISCSI-V), Tokyo, Japan, Nov. 2007
  183. S. W. Lee, P.-S. Chen, M.-H. Lee, and C. W. Liu, “Modified growth of Ge quantum dots using C2H4 and SiCH6 mediation by ultra-high vacuum chemical vapor deposition,” 5th International Symposium on Control of Semiconductor Interfaces (ISCSI-V), Tokyo, Japan, Nov. 2007
  184. P.-S. Kuo, C.-H. Lin, C.-Y. Peng, Y.-C. Fu, C. W. Liu, “Si/SiGe/Si Quantum well Schottky barrier diodes,” 5th International Symposium on Control of Semiconductor Interfaces (ISCSI-V), Tokyo, Japan, Nov. 2007
  185. W.-S. Liao, S.-Y. Huang, T. Shih, and C. W. Liu, “Current and Speed Enhancements at 90nm Node through Package Strain,” International Conference on Solid State Devices and Materials (SSDM), Tsukuba, Japan, Sept. 2007
  186. P.-S. Kuo, C.-H. Lin, C.-Y. Peng, Y.-C. Fu, and C. W. Liu, “Novel Transport mechanism of SiGe dot MOS tunneling diodes,” 7th IEEE International Conference on Nanotechnology (IEEE-NANO), Hong Kong, Aug. 2007
  187. S.-R. Jan, M. H. Liao, T.-H. Cheng, Y. Deng and C. W. Liu, “Blue Electroluminescence from Metal/Oxide/n-6H-SiC Tunneling Diodes,” 7th IEEE International Conference on Nanotechnology (IEEE-NANO), Hong Kong, Aug. 2007
  188. T.-H. Cheng, C.-H. Lee, M. H. Liao, and C. W. Liu, “Electroluminescence from strained SiGe quantum dot light-emitting diodes,” 7th IEEE International Conference on Nanotechnology (IEEE-NANO), Hong Kong, Aug. 2007
  189. C.-H. Lin, Y.-J. Yang, E. Encinas, W.-Y. Chen, J.-J. Tsai, and C. W. Liu, “Single crystalline film on glass for thin film solar cells,” NanoSMat 2007, Algarve, Portugal, Jul. 2007
  190. C.-Y. Peng, M. H. Liao, C.-F. Huang, Y. J. Yang, S. T. Chang, and C. W. Liu, “Strain effects on MOS capacitors and Schottky diodes,” 5th International Conference on Silicon Epitaxy and Heterostructures (ICSI-5), Marseille, France, May 2007
  191. Y.-J. Yang, S. T. Chang, and C. W. Liu, “Electron Mobility Enhancement in STRAINED-Germanium NMOSFETs and Impact of Strain Engineering in Ballistic Regime,” International Symposium VLSI Technology, System, and Applications (VLSI-TSA), Hsinchu, Taiwan, Apr. 2007
  192. H.-L. Chang, P.-T. Lin, W.-C. Hua, C.-P. Lin, C.-Y. Lin, C. W. Liu, T.-Y. Yang, and G.-K. Ma, “Differential Power Combining Technique for General Power Amplifiers Using Lumped Component Network,” Asia-Pacific Microwave Conference (APMC), Yokohama, Japan, Dec. 2006
  193. (Invited) M. H. Liao, C.-H. Lin, C.-H. Lee, T.-H. Cheng, T.-H. Guo, and C. W. Liu, “Electroluminescence from SiGe based metal-oxide-semiconductor Tunneling Diodes,” 210th Meeting of Electrochemical Society, Mexico, Oct. 2006
  194. C.-H. Lin, C.-Y. Yu, M. H. Liao, C.-F. Huang, C.-J. Lee, C.-Y. Lee, and C. W. Liu, “The Process and Optoelectronic Characterization of Ge-on-Insulator,” 210th Meeting of Electrochemical Society, Mexico, Oct. 2006
  195. (Invited) C. W. Liu, and F. Yuan, “Mobility enhancement technologies,” 8th International Conference on Solid-state and Integrated Circuit Technology (ICSICT-06), Shanghai, China, Oct. 2006
  196. (Invited) C.-H. Lin can C. W. Liu, “MOS Si/Ge photodetectors,” Optoelectronic Devices: Physics, Fabrication, and Application III, SPIE Symposium, Boston, Oct. 2006
  197. M. H. Liao, S. T. Chang, P. S. Kuo, H.-T. Wu, C.-Y. Peng, and C. W. Liu, “Strained Pt Schottky diodes on n-type Si and Ge,” 3rd International SiGe Technology and Device Meeting (ISTDM), New Jersey, May 2006
  198. M. H. Liao, T.-H. Cheng, T. C. Chen, C.-H. Lai, C.-H. Lee, and C. W. Liu, “Electroluminescence from the Ge quantum dot metal-oxide-semiconductor tunneling diodes,” 3rd International SiGe Technology and Device Meeting (ISTDM), New Jersey, May 2006
  199. Y. M. Lin, S. L, Wu, S. J. Chang, P. S. Chen, and C. W. Liu, “Impact of SiN on performance in Novel CMOS Architecture using substrate strained-SiGe and mechanical strained Si technology,” 3rd International SiGe Technology and Device Meeting (ISTDM), New Jersey, May 2006
  200. M .H. Lee, S. T. Chang, S. Maikap, C.-Y. Yu, and C. W. Liu, “The interface properties of SiO2/strained Si with carbon incorporation surface channel MOSFETs,” 3rd International SiGe Technology and Device Meeting (ISTDM), New Jersey, May 2006
  201. W.-C. Hua, P.-T. Lin, C.-P. Lin, C.-Y. Lin, H.-L. Chang, C. W. Liu, T.-Y. Yang, and G.-K. Ma, “Coupling Effects of Dual SiGe Power Amplifiers for 802.11n MIMO Applications,” IEEE Radio Frequency Integrated Circuits (RFIC) Conference, San Francisco, USA, 2006
  202. M. H. Liao, C.-Y. Yu, C.-F. Huang, C.-H. Lin, C.-J. Lee, M.-H. Yu, S. T. Chang, C.-Y. Liang, C.-Y. Lee, T.-H. Guo, C.-C. Chang, and C. W. Liu, “2um emission from Si/Ge heterojunction LED and up to 1.55um detection by GOI detector with strain-enhanced features,” 51st International Electron Device Meeting (IEDM), Washington D.C., Dec. 2005
  203. I.-J. Yang, C.-Y. Peng, S. T. Chang, and C. W. Liu, “Calculation of the Electron Mobility in Silicon Inversion Layers: Dependence on Surface Orientation, Channel Direction, and Stress,” International Semiconductor Device Research Symposium (ISDRS), Washington D.C., Dec. 2005
  204. C.-Y. Peng, F. Yuan, M. H. Lee, C.-Y. Yu, S. Maikap, M. H. Liao, S. T. Chang, and C. W. Liu, “Novel Schottky Barrier Ge/Si Heterojunction PMOS,” International Semiconductor Device Research Symposium (ISDRS), Washington D.C., Dec. 2005
  205. W.-C. Hua, H.-H. Lai, P.-T. Lin, C. W. Liu, T.-Y. Yang, and G.-K. Ma, “High-Linearity and Temperature-Insensitive 2.4 GHz SiGe Power Amplifier with Dynamic-Bias Control,” 2005 IEEE Radio Frequency Integrated Circuits (RFIC) Conference, Jun. 2005
  206. S. W. Lee, Y. L. Chueh, P. S. Chen, H. C. Chen, C. W. Liu, and L. J. Chen, “Field emission properties of self-assembled Ge quantum dots grown by ultrahigh vacuum chemical vapor deposition,” 4th International Conference on Silicon Epitaxy and Heterostructures (ICSI-4), May 2005
  207. Y. H. Peng, P. S. Chen, M.-J. Tsai, K. T. Chen, C. W. Liu, C. H. Kuan, and S. C. Lee, “The study of Electro-Luminescence from Ge/Si quantum dots and Si/SiGe supperlattices,” 4th International Conference on Silicon Epitaxy and Heterostructures (ICSI-4), p.226, abstract book, May 2005
  208. S. W. Lee, P. S. Chen, K. F. Liao, M.-J. Tsai, C. W. Liu, and L. J. Chen, “Growth of high-quality SiGe films with a buffer layer containing Ge quantum dots,” 4th International Conference on Silicon Epitaxy and Heterostructures (ICSI-4), p.116, abstract book, May 2005
  209. P. S. Chen, M. H. Lee, S. W. Lee, C. W. Liu, and M. -J. Tsai, “(Invited)Strained CMOS technology with Ge,” 207th Meeting of Electrochemical Society, Quebec City, Canada, May 2005
  210. C.-H. Lin, C.-Y. Yu, P.-S. Kuo, C.-C. Chang, and C. W. Liu, “Delta-doped MOS Ge/Si Quantum Dot/Well Infrared Photodetector,” 4th International Conference on Silicon Epitaxy and Heterostructures (ICSI-4), May 2005
  211. P.-S. Kuo, C.-H. Lin, P. S. Chen, and C. W. Liu, “The current transport mechanism of MOS Photodetector with Pt Gate,” 4th International Conference on Silicon Epitaxy and Heterostructures (ICSI-4), May 2005
  212. (Invited) C.-H. Lin, M.-H. Liao, and C. W. Liu, “CMOS Optoelectronics,” Symposium on Nano Device Technology (SNDT), 2005
  213. S. Maikap, M. H. Liao, F. Yuan, M. H. Lee, C.-F. Huang, S. T. Chang, and C. W. Liu, “Package-strain-enhanced device and circuit performance,” 50th International Electron Device Meeting (IEDM), Technical Digest, pp. 233-236, San Francisco, Dec. 2004
  214. P. S. Chen, S. W. Li, W. Y. Hiseh, M.-J. Tsai, and C. W. Liu, “UHV/CVD of Si1-x-yGexCy/Si and Si1-yCy/Si heterostructure,” International Conference in Asia IUMRS-ICA, Hsinchu, Taiwan, Nov. 2004
  215. (Invited) C. W. Liu, F. Yuan, Z. Pei, and J.-W. Shi, “Si/SiGe heterojunction phototransistor: physics and modeling,” Second International Symposium on Integrated Optoelectronics, 206th Meeting of Electrochemical Society, Honolulu, Hawaii, Oct. 2004
  216. P. S. Chen, Z. Pei, S. W. Lee, C. W. Liu, and M.-J. Tsai, “Nanostructure and optical properties of self-assembled Ge quantum dots grown in a hot wall UHV/CVD system,” M2 SiGe: Materials, Processing, and Devices Symposium, 206th Meeting of Electrochemical Society, Honolulu, Hawaii, Oct. 2004
  217. (Invited) C. W. Liu, S. Maikap, M.-H. Liao and F. Yuan, “BiCMOS devices under mechanical strain,” M2 SiGe: Materials, Processing, and Devices Symposium, 206th Meeting of Electrochemical Society, Honolulu, Hawaii, Oct. 2004
  218. S. T. Chang, M. H. Lee, and C. W. Liu, “Strained Si1-xCx on Field Transistor on SiGe Substrate,” M2 SiGe: Materials, Processing, and Devices Symposium, 206th Meeting of Electrochemical Society, Honolulu, Hawaii, Oct. 2004
  219. P. S. Chen, S. W. Li, M. H. Li, C.W. Liu and M.-J. Tsai,, “Thin relaxed SiGe buffer for strained Si CMOS,” Semiconductor Manufacturing Technology Workshop, Hsinchu, Taiwan, Sept. 2004
  220. S. W. Lee, P. S. Chen, M. H. Lee, C. W. Liu and L. J. Chen, “The growth of high-quality SiGe films with an Intermediate Si layer for strained Si nMOSFETs,” 2nd International SiGe Technology and Device Meeting (ISTDM), Frankfurt (Oder), Germany, May 2004
  221. P. S. Chen, S. W. Li, Y. H. Liu, M. H. Lee, M.-J. Tsai and C. W. Liu, “Ultra-high-vacuum chemical vapor deposition of hetero-epitaxial SiGe:C and SiC thin films on Si(001) with ethylene (C2H4) precursor as carbon source,” 2nd International SiGe Technology and Device Meeting (ISTDM), Frankfurt (Oder), Germany, May 2004
  222. (Invited) C. W. Liu and B.-C. Hsu, “CMOS optoelectronics,” Advance Short-time Thermal Processing for Si-Based CMOS Devices II, 205th Meeting of Electrochemical Society, San Antonio, Texas, May 2004
  223. (Invited) M. H. Lee, P. S. Chen, W.-C. Hua, C.-Y. Yu, Y.-C. Lee, S. Maikap, Y. M. Hsu, C. W. Liu, S. C. Lu, W.-Y. Hsieh, and M.-J. Tsai, “The Noise Characteristics in Strained-Si MOSFETs,” 2nd International SiGe Technology and Device Meeting (ISTDM), Frankfurt (Oder), Germany, May 2004
  224. T. C. Chen, L. S. Lee, W. Z. Lai, and C. W. Liu, “The Characteristic of HfO2 on Strained SiGe,” 2nd International SiGe Technology and Device Meeting (ISTDM), Frankfurt (Oder), Germany, May 2004
  225. C.-Y. Yu, P.-W. Chen, M.-H. Liao, and C. W. Liu, “Buckled SiGe layers on viscous SGOI substrates by wafer bonding and layer transfer techniques,” 15th International Conference on Ion Implantation Technology (IIT), 2004
  226. P. S. Chen, K. F. Liao, M. H. Lin, S. W. Lee, C.W. Liu, and M.-J. Tsai, “Influence of H and He implantation on surface morphology and relaxation in SiGe/Si (100),” 15th International Conference on Ion Implantation Technology (IIT), 2004
  227. P. S. Chen, M.-J. Tsai, C. W. Liu, and S. W. Lee, “Carbon mediation on the growth of self-assembled Ge quantum dots on Si (100) by ultra high vacuum chemical vapor deposition,” 51st International Symposium of American Vacuum Society, Anaheim, CA, 2004
  228. S. W. Lee, L. J. Chen, P. S. Chen, M.-J. Tsai, and C. W. Liu, “The growth of high-quality SiGe films by introducing an intermediate Si:C layer,” 51st International Symposium of American Vacuum Society, Anaheim, CA, 2004
  229. C.-Y. Yu, T. C. Chen, S.-H. Huang, L. S. Lee, and C. W. Liu, “Electrical and Optical Reliability Improvement of HfO2 Gate Dielectric by Deuterium and Hydrogen Incorporation,” 11th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), 2004
  230. C.-H. Lin, P.-S. Kuo, P. S. Chen, C.-Y. Yu, S. T. Chang, C. W. Liu, “Raising Operation Temperature of MOS Ge/Si Quantum Dot Infrared Photodetectors,” International Electron Devices and Materials Symposia (IEDMS), pp. 277-280, Hsinchu, Taiwan, 2004
  231. P.-S. Kuo, C.-H. Lin, B.-C. Hsu, P.S. Chen, C. W. Liu, “A Dual-bias Operated MOS Photodetector with Pt Gate,” International Electron Devices and Materials Symposia (IEDMS), pp. 411-414, Hsinchu, Taiwan, 2004
  232. C.-Y. Yu, P.-W. Chen, M.-H. Liao, and C. W. Liu, “Buckled SiGe layers on the Viscous SGOI Substrates,” 11th Symposium on Nano Device Technology (SNDT), 2004
  233. W.-C. Hua, M. H. Lee, P. S. Chen, S. Maikap, C. W. Liu and K. M. Chen, “Comprehensive Flicker Noise Characterization of the Strained-Si NMOSFETs,” 11th Symposium on Nano Device Technology (SNDT), 2004
  234. C. C. Lee, Y.-H. Liu, T.-C. Chen, C.–Y. Yu, P. S. Chen, Y. T. Tseng, and C. W. Liu, “The material and electrical characteristics of SiGeC alloy grown by chemical vapor deposition using C2H4 precursors,” Asia, CVD III, Taipei, Taiwan, 2004
  235. M. H. Lee, P. S. Chen, W.-C. Hua, C.-Y. Yu, Y. T. Tseng, S. Maikap, Y. M. Hsu, C. W. Liu, S. C. Lu, and M.-J. Tsai, “Comprehensive Low-Frequency and RF Noise Characteristics in Strained-Si NMOSFETs,” 49th International Electron Device Meeting (IEDM), Technical Digest, pp. 69-72, Washington D.C., Dec. 2003
  236. P.-S. Kuo, B.-C. Hsu, and C. W. Liu, “Liquid Phase Deposited Oxynitride Films and Quantum Dots Characteristics and Applications on MOS Photodetector,” Electron Devices and Materials Symposium (EDMS), Keelung, Taiwan, Nov. 2003
  237. C.-Y. Liang, B.-C. Hsu, S. T. Chang, and C. W. Liu, “Novel Si-based SOI-MOS Photodetectors with Ultrahigh Bandwidth,” Electron Devices and Materials Symposium (EDMS), Keelung, Taiwan, Nov. 2003
  238. S. W. Lee, L. J. Chen, P. S. Chen, M.-J. Tsai, C. W. Liu, T. Y. Chien, and C. T. Chia, “Relief of strain in SiGe films with a buffer layer containing Ge quantum dots,” 8th IUMRS International Conference on Advanced Materials (IUMRS-ICAM), Yokohama, Japan, Oct. 2003
  239. L. S. Lai, C. S. Liang, P. S. Chen, Y. M. Hsu, Y. H. Liu, Y. T. Tseng, S. C. Lu, M.-J. Tsai, and C. W. Liu, “Optimal SiGe:C HBT Module for BiCMOS Applications,” International Symposium VLSI Technology, System, and Applications, Oct. 2003
  240. (Invited) J.-W. Shi, Z. Pei, Y.-M. Hsu, F. Yuan, C.-S. Liang, Y.-T. Tseng, P.-S. Chen, C. W. Liu, S.-C. Lu, M.-J. Tsai, “Si/SiGe Heterojunction Phototransistor,” International Topical Meeting on Microwave Photonics, Budapest, Hungary, Sept. 2003
  241. P. S. Chen, S. W. Lee, Y. H. Peng, Z. Pei, M.-J. Tsai, and C. W. Liu, “Novel composite Ge/Si/Ge quantum dots with high PL efficiency and improved uniformity,” 1st International SiGe Technology and Device Meeting (ISTDM), Nagoya, Japan, Jan. 2003
  242. H. C. Chen, S. W. Lee, S. L. Cheng, L. J. Chen, P. S. Chen and C. W. Liu, “Enhanced growth of amorphous interlayer in Ti thin films on strained Si/SiGe relaxed substrates,” 1st International SiGe Technology and Device Meeting (ISTDM), Nagoya, Japan, Jan. 2003
  243. Y. H. Peng, J.-H. Lu, C. H. Kuan, C. W. Liu, P. S. Chen, M.-J. Tsai, S. W. Lee, L. J. Chen, M. H. Ya, Y. F. Chen, “Schottky Quantum Dots Infrared Photodetector with Far Infrared Response,” 1st International SiGe Technology and Device Meeting (ISTDM), Nagoya, Japan, Jan. 2003
  244. L. S. Lai, Y. H. Liu, C. S. Liang, Y. T. Tseng, Y. M. Shiu, P. S. Chen, S. C. Lu, C. W. Liu and M.-J. Tsai, “The optimal base design for SiGe heterojunction bipolar transistors with high fT,” 1st International SiGe Technology and Device Meeting (ISTDM), Nagoya, Japan, Jan. 2003
  245. W.-C. Hua, T.-Y. Yang, C. W. Liu, “The Comparison of Isolation Technologies and Device Models on SiGe Bipolar Low Noise Amplifier,” 1st International SiGe Technology and Device Meeting (ISTDM), Nagoya, Japan, Jan. 2003
  246. S. W. Lee, P. S. Chen, Y. H. Peng, C. W. Liu and L. J. Chen, “Improved quality of Ge quantum dots in Ge/Si stacked layers by pre-intermixing treatments,” 1st International SiGe Technology and Device Meeting (ISTDM), Nagoya, Japan, Jan. 2003
  247. F. Yuan, Z. Pei, J.-W. Shi, S. T. Chang, and C. W. Liu, “Mextram Modeling of Si/SiGe Heterojunction Phototransistors,” International Semiconductor Device Research Symposium (ISDRS), pp. 92-93, Washington D.C., 2003
  248. B.-C. Hsu, S. T. Chang, P.-S. Kuo, P. S. Chen, C. W. Liu, J.-H. Lu, and C. H. Kuan, “MOS Ge/Si Quantum Dot Infrared Photodetectors with Quantum Dot and Wetting Layer Responses,” International Semiconductor Device Research Symposium (ISDRS), pp. 491-492, Washington D.C., 2003
  249. Z. Pei, J.-W. Shi, Y.-M. Hsu, F. Yuan, C.-S. Liang, C. W. Liu, T.-M. Pan, S. C. Lu and M.-J. Tsai, “Integratable SiGe Phototransistor with High Speed (BW=3GHz) and Extremely-High Avalanche Responsivity,” International Semiconductor Device Research Symposium (ISDRS), pp. 18-19, Washington D.C., 2003
  250. C.-Y. Liang, B.-C. Hsu, C.-H. Lin, S. T. Chang, and C. W. Liu, “Modeling and Simulation of High-bandwidth Si-based MOS/SOI Photodetectors,” International Semiconductor Device Research Symposium (ISDRS), pp. 230-231, Washington D.C., 2003
  251. S. T. Chang, Y. H. Liu, and C. W. Liu, “Buried Oxide Thickness Effect and Lateral Scaling og SiGe HBT on SOI Substrate,” International Semiconductor Device Research Symposium (ISDRS), pp. 16-17, Washington D.C., 2003
  252. (Invited) B.-C. Hsu, Z. Pei, S. T. Chang, P. S. Kuo, P. S. Chen, and C. W. Liu, “Si-based Optoelectronics,” 10th Symposium on Nano Device Technology (SNDT), pp. 1-4, 2003
  253. M. H. Lee, P. S. Chen, Y. T. Tseng, Y. M. Hsu, S. W. Lee, J.-Y. Wei, C.-Y. Yu, and C. W. Liu, “Performance enhancement in strained-Si NMOSFETs on SiGe virtual substrate,” 10th Symposium on Nano Device Technology (SNDT), pp. 28-31, 2003
  254. S. W. Lee, P. S. Chen, L. J. Chen, and C. W. Liu, “The growth of high-quality uniform SiGe films by introducing an intermediate Si layer,” International Conference on Metallurgical Coatings and Thin Films (ICMCTF), pp. 78, San Diego, California, 2003
  255. B.-C. Hsu, S. T. Chang, C.-R. Shie, C.-C. Lai, P. S. Chen, and C. W. Liu, “High Efficient 820 nm MOS Ge Quantum Dot Photodetectors for Short Reach Integrated Optical Receivers,” 48th International Electron Device Meeting (IEDM), Technical Digest, pp. 91-94, San Francisco, Dec. 2002
  256. Z. Pei, C.S. Liang, L.S. Lai, Y.T. Tseng, Y.M. Hsu, P.S. Chen, S.C. Lu, C.M. Liu, M.-J. Tsai and C.W. Liu, “High Efficient 850nm and 1310nm Multiple Quantum Well SiGe/Si Heterojunction Phototransistors with 1.25 Plus GHz Bandwidth,” 48th International Electron Device Meeting (IEDM), Technical Digest, pp. 297-300, San Francisco, Dec. 2002
  257. F. Yuan, C. -H. Lin, C. -R. Shie, K. -F. Chen, M. H. Lee, and C. W. Liu, “Oxide Roughness Enhanced Reliability of MOS Tunneling Diodes,” International Conference on Solid State Devices and Materials (SSDM), Nagoya, Japan, Sept. 2002
  258. W. -C. Hua, M. H. Lee, and C. W. Liu, “A Novel Gas Switching Method to Improve the Reliability of Rapid Thermal Oxide,” 201st Meeting of Electrochemical Society, Philadelphia, May 2002
  259. B.-C. Hsu, W.-C. Hua, C.-R. Shie, C.-C. Lai, K.-F. Chen and C. W. Liu, “A Novel Ge MOS Detector for 1.3um and 1.5um Light Wave Communication,” 201st Meeting of Electrochemical Society, pp. 662, Philadelphia, May 2002
  260. S. T. Chang and C. W. Liu, “Effects of Recombination Lifetime and Velocity Saturation on Ge Profile Design for Base Transit Time of Si/SiGe HBTs,” International Semiconductor Device Research Symposium (ISDRS), ISDRS Proceedings, pp. 490-493, Washington D.C., Dec. 2001
  261. C.-H. Lin, M. H. Lee, B. -C. Hsu, K. -F. Chen, C. -R. Shie, and C. W. Liu, “Oxide Roughness Enhanced Reliability of MOS Tunneling Diodes,” International Semiconductor Device Research Symposium (ISDRS), ISDRS Proceedings, pp. 46-49, Washington D.C., Dec. 2001
  262. B. -C. Hsu, W. T. Liu, C. -H. Lin and C. W. Liu, “Novel Photodetectors Using Metal-Oxide-Silicon Tunneling Structures,” International Semiconductor Device Research Symposium (ISDRS), ISDRS Proceedings, pp. 42-45, Washington D.C., Dec. 2001
  263. S. T. Chang, C. W. Liu, and C. -H. Lin,, “Optimum Ge Profile Design for Base Transit Time Minimization of SiGe HBT,” Asia-Pacific Microwave Conference (APMC), APMC Proceedings, Vol. 1 of 3, p, Taipei, Taiwan, Dec. 2001
  264. C. -H. Lin, M. H. Lee, B. -C. Hsu, and C. W. Liu, “Novel Methods to Incorporate Deuterium in the MOS Structures and Isotope Effects on Soft Breakdown and Interface States,” International Conference on Solid State Devices and Materials (SSDM), SSDM Proceedings, pp. 422-423, Tokyo, Japan, Sept. 2001
  265. C. W. Liu, Y.-H. Liu, M. H. Lee, M.-J. Chen, and C.-F. Lin, “Metal-Oxide-Silicon Light Emitting Diodes Prepared by Rapid Thermal Oxidation,” Rapid Thermal and Other Short-time Processing Technology II, 199th Meeting of Electrochemical Society, Washington D.C., Mar. 2001
  266. C.-F. Lin, M.-J. Chen, M. H. Lee, and C. W. Liu, “Electroluminescence at Si Bandgap from Metal-Oxide-Semiconductor tunneling diodes,” Photonic West, International Society for Optical Engineering (SPIE), San Jose, CA, Jan. 2001
  267. C.-F. Lin, M.-J. Chen, E. Z. Liang, W. T. Liu, M. H. Lee, and C. W. Liu, “Novel Electroluminescence from Metal-Insulator-Oxide Structures on Si,” Conference on Optoelectronic and Microelectronic Materials and Devices (COMMAD), Melbourne, Australia, Dec. 2000
  268. M.-J. Chen, C.-F. Lin, J. J. Chiu, C. W. Liu, and S.-W. Chang, “Metal-Oxide-Semiconductor Light-Emitting Diodes at Si Bandgap Energy,” IEEE Conference on Lasers and Electro-Optics Europe (CLEO/Europe), Nice, France, Sept. 2000

Books:

  1. “Invited” C. W. Liu, S. Maikap, and C.-Y. Yu, “Recent Progress in Mobility-enhancement Technologies,” IEEE Circuit and Device Magazine, May 2005
  2. 劉致為, 李敏鴻, 魏拯華, “奈米電子,” National Taiwan University Press, 2004
  3. C. W. Liu and L. J. Chen, “SiGe/Si Heterostructures,” Encyclopedia of Nanoscience and Nanotechnology, American Scientific Publishers, 2003
  4. 劉致為, 張書通, “矽鍺技術,” 電子月刊九月號第98期, 積體電路技術專輯, pp.110-119 pages, 2003
  5. 劉致為, “CMOS光電元件,” 台灣奈米科技, 2003
  6. 劉致為, 游李興, “奈米技術及產業通識教材(3)奈米電子元件,” 2002 Science and Technology Information Center, National Science Council, 2002

Patents:

  1. Sheng-Ting Fan, Pin-Shiang Chen, C. W. Liu, Chi-Wen Liu, “Semiconductor device and method,” US 10,109,477, Oct. 2018
  2. Fang-Liang LU, I-Hsieh WONG, Shih-Ya LIN , C. W. Liu, Samuel C. PAN, “SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF,” US 2018 / 0151734 A1, May 2018
  3. Fang-Liang LU, C. W. Liu, Chi-Wen LIU, Shih-Hsien HUANG, I-Hsieh WONG, “SEMICONDUCTOR DEVICE INCLUDING FIELD EFFECT TRANSISTOR AND A METHOD FOR FABRICATING THE SAME,” US 10,068,995, Jan. 2018
  4. Yen-Ting Chen, I-Hsieh Wong, C. W. Liu, “Semiconductor device and formation thereof,” US 9,847,233, Dec. 2017
  5. Jhih-Yang Yan, C. W. Liu, Der-Chuan Lai, “Semiconductor device and transistor,” US 9,679,893, Jun. 2017
  6. Jhih-Yang Yan, Samuel C. Pan, C. W. Liu, Hung-Yu Yeh, Da-zhi Zhang, “Three-dimensional transistor and methods of manufacturing thereof,” US 9,627,411, Apr. 2017
  7. 杜文仙 劉致為, “電晶體結構,” I574414, Mar. 2017
  8. Wei-Fan Lee, C. W. Liu, Chin-Kun Wang, Yuh-Ta FAN, Chih-Hsiung Huang, Tzu-Yao Lin, “Semiconductor structure with interfacial layer and method for manufacturing the same,” US 9,595,593, Mar. 2017
  9. Der-Chuan Lai, Pin-Shiang Chen, Hung-Chih Chang, C. W. Liu, Samuel C. Pan, “Field effect transistors and methods of forming same (Multi 2D-Material Channel Field Effect Transistors with Negative Capacitance in Ferroelectric Materials),” US 9,559,168, Jan. 2017
  10. C. W. Liu, Samuel C. Pan, I-Hsieh Wong, Hung-Yu Yeh, “Field effect transistors and methods of forming same (A Structure of Vertical FETs),” US 9,559,209, Jan. 2017
  11. Pin-Shiang Chen, Samuel C. Pan, C. W. Liu, Sheng-Ting Fan, “Field effect transistors and methods of forming same (Field Effect Transistors using Topological Insulators),” US 9,490,430, Nov. 2016
  12. Hung-Chih Chang, Pin-Shiang Chen, C. W. Liu, “Transistor with wurtzite channel,” US 9,425,250, Aug. 2016
  13. 劉致為 陳彥廷, “半導體結構,” I531059, Apr. 2016
  14. C. W. Liu, Yen-Yu Chen, Hsuan-Yi Lin, Cheng-Yi Peng, “Semiconductor device having a charged insulating layer,” US 9,263,542, Feb. 2016
  15. Hung-Chih Chang, Pin-Shiang Chen, C. W. Liu, “3D UTB transistor using 2D material channels,” US 9,240,478, Jan. 2016
  16. C. W. Liu, Y. T. Chen, “Semiconductor Structure,” US 9,105,481 B2, Aug. 2015
  17. Chun-Lin Chu, Shu-Han Hsu, Guang-Li Luo, C. W. Liu, “BRIDGE STRUCTURE,” US 8,975,674, Mar. 2015
  18. 朱俊霖 許舒涵 羅廣禮 劉致為, “浮橋結構及其製造方法,” I451494, Sept. 2014
  19. Jyun-Jhe Tsai, Ying-Jhe Yang, C. W. Liu, “Structure and method of solar cell efficiency improvement by strain technology,” US 8,664,516 B2, Mar. 2014
  20. 劉致為 何偉碩陳彥瑜 古俊源 吳振誠 梁碩瑋 陳人杰 賴忠威 陳宗保, “太陽能電池及其製作方法,” CN102064211B, Oct. 2013
  21. 蔡文發 廖炯峰 陳彥瑜 劉致為 艾啟峰, “鈍化修補太陽能電池缺陷之方法,” I402898, Jul. 2013
  22. 劉致為 何偉碩 陳彥瑜 古俊源 陳建任 林漢涂 梁碩瑋, “太陽電池,” CN101866969B, Sept. 2012
  23. Sun-Rong Jan, Che-Yu Yeh, C. W. Liu, Chien-Hua Huang, and Bing J. Sheu., “Placement for through Silicon Vias in 3D IC Chips,” US 13/478,815, May 2012
  24. 劉致為 林楚軒 江彥德 徐正璋, “光偵測器的製造方法,” 中華民國 I360232, Mar. 2012
  25. W.-F. Tsai, J.-F. Liao, Y.-Y. Chen, C. W. Liu, C.-F. Ai, “Solar cell defect passivation method,” US 8,062,964, Nov. 2011
  26. Y. T. Chen, C.-F. Huang, H.-C. Sun, C. W. Liu, “Memory formed by using defects,” US 8,009,479, Aug. 2011
  27. 劉致為 賴俊宏 陳盟坤 何偉碩, “P-N二極體光波感測之方法及裝置,” 中華民國I346393, Aug. 2011
  28. 程子桓 李政霆 許文瑋 劉致為, “雷射結構及其製造方法,” 中華民國I340513, Apr. 2011
  29. C. W. Liu, C.-H. Lin, Y.-T. Chiang, C.-C. Hsu, “Manufacturing process for a photodetector,” US 7,906,360C, Mar. 2011
  30. 劉致為 江彥德 李敏鴻 鄧鈺, “可撓式電子裝置及其製程,” 中華民國I335046, Dec. 2010
  31. 黃靖方 劉志祥 劉致為, “利用應變技術改變薄膜電晶體遷移率之方法,” 中華民國I319211, Jan. 2010
  32. C. W. Liu, C.-H. Lai, M.-K. Chen, W.-H. Ho, “Method for photo-detecting and apparatus for the same,” US 7,579,668, Aug. 2009
  33. P.-S. Chen, S. W. Lee, L. J. Chen, C. W. Liu, “Strained silicon forming method with reduction of threading dislocation density,” US 7,498,224, Mar. 2009
  34. C.-H. Lin, Z. Pei, C. W. Liu, “Method for fabricating semiconductor device,” US 7,371,628, May 2008
  35. C.-Y. Yu, S.-R. Jan, S.-T. Chang, C. W. Liu, “Method with mechanically strained silicon for enhancing speed of integrated circuits of devices,” US 7,307,004, Dec. 2007
  36. M. H. Lee, C.-Y. Yu, S.-C. Lu, C. W. Liu, “Fabrication methods for compressive strained-silicon and transistors using the same,” US 7,282,414, Oct. 2007
  37. P.-S. Chen, S. W. Lee, K.-F. Liao, L. J. Chen, C. W. Liu, “Construction of thin strain-relaxed SiGe layers and method for fabricating the same,” US 7,202,512, Apr. 2007
  38. 李敏鴻 張書通 劉致為 陸新起, “應變矽碳場效電晶體,” 中華民國I270986, Jan. 2007
  39. 許晉瑋, 劉致為, “高飽和輸出功率及高增益-頻寬乘積之累增崩潰光偵測器,” 中華民國 I228320, 2005
  40. 李敏鴻 余承曄 陸新起 劉致為, “利用離子佈植製造壓縮應變矽的方法及使用該方法所製成之電晶體,” 中華民國 I239105
  41. 陳邦旭 李勝偉 陳力俊 劉致為, “一種減少穿遂缺陷密度之型變矽製造方法,” 中華民國 I237908
  42. 劉致為 余承曄 陳博文, “二維皺曲量子井的製造方法,” 中華民國 I247348
  43. 陳邦旭 曾揚玳 劉致為, “一種應變鬆弛矽鍺磊晶層之製造方法及其结構,” 中華民國 I242237
  44. 張書通 黃仕澔 劉致為, “應變矽鰭形場效電晶體,” 中華民國 I231994
  45. J.-W. Shi and C. W. Liu, “Avalanche photodetector with high saturation power and high gain-bandwidth product,” US 6,963,089
  46. P.S. Chen, B.C. Chen, and C. W. Liu, “Method for fabricating multiple thickness insulator layers,” US 6,916,674
  47. 李敏鴻, 劉致為, “一種改良線性燈管照射均勻度的反射體結構,” 中華民國 00411033
  48. 林清富, 劉致為, “金氧矽發光二極體,” 中華民國 00456057
  49. 李敏鴻, 林奕成, 劉致為, “光偵測器,” 中華民國 00414930
  50. 劉致為, 李敏鴻, “快速加熱製程中提昇降溫速率之方法與裝置,” 中華民國 00457593
  51. 劉致為, 林崇勳, 李敏鴻, 林清富, “利用氘氣高溫預烤以增加氧化層穩定度之方法,” 中華民國 00471110
  52. 劉致為, 李敏鴻, 劉岳修, “含濕式化學處理步驟之發光二極體製造方法,” 中華民國 00497125
  53. 陳敏璋, 林清富, 劉致為, 李敏鴻, 張書通, “金氧半導體元件中矽半導體與閘極絕緣層介面品質檢測系統與方法,” 中華民國 00508714
  54. 史望澄, 丁文琪, 劉致為, 李敏鴻, 林崇勳, “改善閘極氧化層可靠度之方法,” 中華民國 00511164
  55. C. W. Liu, M. H. Lee, I. C. Chen, “Photodetector,” 美國 US 6,268,615 B1
  56. C. W. Liu, M. H. Lee, “Reflector Structure for Improving Irradiation Uniformity of Linear Lamp Array,” 美國 US 6,385,396 B1
  57. 張書通, 黃仕澔, 劉致為, “利用機械應變矽增加積體電路速度之方法,” 中華民國 00557484
  58. 許博欽, 張書通, 黃仕澔, 劉致為, “紅外光光偵測器,” 中華民國 I220790
  59. 劉致為, 李敏鴻, “在快熱製程中利用氣體切換以提高絕緣層穩定度的方法,” 中華民國 I221319
  60. 陳邦旭, 許博欽, 劉致為, “多重厚度絕緣層製作方法及結構,” 中華民國 I222134
  61. 許裕民, 許晉瑋, 裴靜偉, 袁鋒, 劉致為, “半導體光電晶體,” 中華民國 I222219
  62. 賴理學, 陳邦旭, 陸新起, 劉致為, “超薄基極矽/矽鍺異質結構雙載子電晶體的製作方法,” 中華民國 I223446
  63. 袁鋒, 黃靖方, 劉致為, “利用特殊佈局方向之互補型金氧半場效電晶體製造方法,” 中華民國 I228293
  64. Y.-M. Hsu, J.-W. Shi, Z. Pei, F. Yuan, C. W. Liu, “Semiconductor Phototransistor,” 美國 US 6,759,694
  65. 張書通 黃仕澔 劉致為, “利用機械應變矽增加積體電路速度的方法,” 中華民國00557484
  66. C. W. Liu, F. Yuan, C.-H. Lin, “Method for utilizing rough insulator to enhance metal-insulator-semiconductor reliability,” 美國 US 6,794,309 B2
  67. 許博欽 張書通 黃仕澔 劉致為, “紅外光光偵測器,” 中華民國I220790
  68. M.-J. Chen, C.-F. Lin, C. W. Liu, M. H. Lee, S. T. Chang, “System and method for characterizing the quality of the interface between a silicon and a gate insulator in a MOS device,” 美國 US 6,812,729
  69. 余承曄 詹孫戎 張書通 劉致為, “利用機械應變矽增加積體電路或元件速度的方法,” 中華民國I237397
  70. 李敏鴻 余承曄 劉致為, “應變鍺場效電晶體及其製造方法,” 中華民國I252514
  71. 李敏鴻 余承曄 劉致為, “具選擇性成長之應變鍺層的電晶體裝置及其製造方法,” 中華民國I258172
  72. 林哲歆 裴靜偉 劉致為, “半導體裝置之製造方法,” 中華民國I259534
  73. 劉致為 袁鋒 林崇勳, “利用粗糙絕緣層增強金絕半元件穩定度之辦法,” 中華民國I262533
  74. 廖洺漢 余承曄 劉致為, “矽/鍺異質結構的長波長矽金屬氧化半導體發光元件,” 中華民國I264138
  75. 陳邦旭 李勝偉 廖高鋒 陳力俊 劉致為, “應變鬆弛之薄矽鍺磊晶層之結構及其製造方法,” 中華民國I263709
  76. M. H. Lee, S. T. Chang, S.-C. Lu, C. W. Liu, “Strained silicon carbon alloy MOSFET structure and fabrication method thereof,” US 7,091,522
  77. P.-S. Chen, S. W. Lee, L. J. Chen, C. W. Liu, “Strained silicon forming method with reduction of threading dislocation density,” US 7,102,153