楊家驤教授的著作列表 - Publication List of Chia-Hsiang Yang

Publication List of 楊家驤 Chia-Hsiang Yang

Journal articles & book chapters:

  1. C.-Y. Yeh, T.-C. Chu, C.-E. Chen, C.-H. Yang, “A Hardware-Scalable DSP Architecture for Beam Selection in mm-Wave MU-MIMO Systems,” IEEE Trans. Circuits & Systems I (TCAS-I), vol. 65, no. 11, pp. 3918-3928, Nov. 2018
  2. T.-I Chou, K.-H. Chang, J.-Y. Jhang, S.-W. Chiu, G. Wang, C.-H. Yang, H. Chiueh, H. Chen, C.-C. Hsieh, M.-F. Chang, K.-T. Tang, “A 1-V 2.6-mW Environmental Compensated Fully Integrated Nose-on-a-Chip,” IEEE Trans. Circuits & Systems II (TCAS-II), vol. 65, no. 10, pp. 365-1369, Jul. 2018
  3. J.-F. Wu, C.-H. Lu, C.-H. Yang, I-J. Tsai, “Diagnostic Role of Anal Sphincter Relaxation Integral (ASRI) in High-resolution Anorectal Manometry for Hirschsprung's Disease in Infants,” Journal of Pediatrics, vol. 194, pp. 136-141, Mar. 2018
  4. Y.-C. Tsai, C.-E. Chen, C.-H. Yang, “A Flexible Geometric Mean Decomposition Processor for MIMO Communication Systems,” IEEE Trans. Circuits & Systems I (TCAS-I), vol. 64, no. 2, pp. 446-456, Feb. 2017
  5. M.-R. Li, C.-H. Yang, Y.-L. Ueng, “A 5.28-Gbps LDPC Decoder with Time-domain Signal Processing for IEEE 802.15.3c Applications,” IEEE J. Solid-State Circuits (JSSC), vol. 52, no. 2, pp. 592-604, Feb. 2017
  6. C.-H. Chang, M.-T. Chou, Y.-C. Wu, T.-W. Hong, Y.-L. Li, C.-H. Yang, and J.-H. Hung, “sBWT: Memory Efficient Implementation of the Hardware-acceleration-friendly Schindler Transform for the Fast Biological Sequence Mapping,” Bioinformatics, 32.22, pp. 3498-3500, Jul. 2016
  7. C.-Y. Lee, P.-H. Hsieh, and C.-H. Yang, “A Standard-Cell-Design-Flow Compatible Energy-Recycling Logic with 70% Energy Saving,” IEEE Trans. Circuits & Systems I (TCAS-I), vol. 63, no. 1, pp. 70-79, Jan. 2016
  8. W.-H. Wu, W.-C. Sun, C.-H. Yang, and Y.-L. Ueng, “An Iterative Detection and Decoding Receiver for LDPC-Coded MIMO Systems,” IEEE Trans. Circuits & Systems I (TCAS-I), vol. 62, no. 10, pp. 2512-2522, Oct. 2015
  9. C.-H. Yang, C.-W. Chou, C.-S. Hsu, C.-E. Chen, “A Systolic Array Based GTD Processor with a Parallel Algorithm,” IEEE Trans. Circuits & Systems I (TCAS-I), vol. 62, no. 4, pp. 1099-1108, Apr. 2015
  10. C.-H. Yang, Y.-H. Shih, and H. Chiueh, “An 81.6μW FastICA Processor for Epileptic Seizure Detection,” IEEE Trans. Biomedical Circuits & Systems (TBioCAS), vol. 9, no.1, pp. 60-71, Feb. 2015
  11. C.-E. Chen, Y.-C. Tsai, and C.-H. Yang, “An Iterative Geometric Mean Decomposition Algorithm for MIMO Communications Systems,” IEEE Trans. Wireless Communications (TWC), vol. 14, no. 1, pp. 343-352, Jan. 2015
  12. S.-W. Chiu, J.-H. Wang, K.-H. Chang, T.-H. Chang, C.-M. Wang, C.-L. Chang, C.-T. Tang, C.-F. Chen, C.-H. Shih, H.-W. Kuo, L.-C. Wang, H. Chen, C.-C. Hsieh, M.-F. Chang, Y.-W. Liu, T.-J. Chen, C.-H. Yang, H. Chiueh, J.-M. Shyu, K.-T. Tang, “A Fully Integrated Nose-on-a-Chip for Rapid Diagnosis of Ventilator-Associated Pneumonia,” IEEE Trans. Biomedical Circuits & Systems (TBioCAS), vol. 8, no. 6, pp. 765-778, Dec. 2014
  13. C.-H. Yang, T.-Y. Huang, M.-R. Li, and Y.-L. Ueng, “A 5.4μW Soft-Decision BCH Decoder for Wireless Body Area Networks,” IEEE Trans. Circuits & Systems I (TCAS-I), vol. 61, no. 9, pp. 2721-2729, Sept. 2014
  14. C.-C. Cheng, J.-D. Yang, C.-H. Yang, and Y.-L. Ueng, “A Fully-Parallel LDPC Decoder Architecture Using Probabilistic Min-Sum Algorithm for High-Throughput Applications,” IEEE Trans. Circuits & Systems I (TCAS-I), vol. 61, no. 9, pp. 2738-2746, Sept. 2014
  15. W.-M. Chen, H. Chiueh, T.-J. Chen, C.-L. Ho, C. Jeng, S.-T. Chang, M.-D. Ker, C.-Y. Lin, Y.-C. Huang, C.-W. Chou, T.-Y. Fan, M.-S. Cheng, S.-F. Liang, T.-C. Chien, S.-Y. Wu, Y.-L. Wang, F.-Z. Shaw, Y.-H. Huang, C.-H. Yang, C.-Y. Wu, “A Fully Integrated 8-Channel Closed-Loop Neural-Prosthetic SoC for Real-Time Epileptic Seizure Control,” IEEE J. Solid-State Circuits (JSSC), vol. 49, no. 1, pp. 232-247, Jan. 2014
  16. S.-F. Liang, Y.-C. Chen, Y.-L. Wang, P.-T. Chen, C.-H. Yang, and H. Chiueh, “A Hierarchical Approach for On-line Temporal Lobe Seizure Detection in Long-term Intracranial EEG Recordings,” J. Neural Engineering (JNE), vol. 10, no. 4, pp. 1-14, May 2013
  17. T.-H. Yu, C.-H. Yang, D. Čabrić, and D. Marković, “A 7.4mW 200MS/s Wideband Spectrum Sensing Digital Baseband Processor for Cognitive Radios,” IEEE J. Solid-State Circuits (JSSC), vol. 47, no. 9, pp. 2235-2245, Sept. 2012
  18. C.-H. Yang, T.-H. Yu, and D. Marković, “Power and Area Minimization of Reconfigurable FFT Processors: A 3GPP-LTE Example,” IEEE J. Solid-State Circuits (JSSC), vol. 47, no. 3, pp. 757-767, Mar. 2012
  19. C.-H. Yang and D. Marković, “A Flexible DSP Architecture for MIMO Sphere Decoding,” IEEE Trans. Circuits & Systems I (TCAS-I), vol. 56, no. 10, pp. 2301-2314, Oct. 2009

Conference & proceeding papers:

  1. Y.-Z. Wang, Y.-P. Wang, Y.-C. Wu, C.-H. Yang, “A 12.6mW 573-2,901KS/s Reconfigurable Processor for Reconstruction of Compressively-Sensed Physiological Signals,” Int. Symposium on VLSI Circuits (VLSI Circuits), pp. 261-262, Jun. 2018
  2. S.-A. Huang, K.-C. Chang, H.-H. Liou, and C.-H. Yang, “A 1.9mW SVM Processor with On-chip Active Learning for Epileptic Seizure Control,” Int. Symposium on VLSI Circuits (VLSI Circuits), pp. 259-260, Jun. 2018
  3. C.-H. Chiang, S.-A. Huang, C.-E. Chen, and C.-H. Yang, “A 2x2-16x16 Reconfigurable GGMD Processor for MIMO Communication Systems,” Int. Symposium Circuits and Systems (ISCAS), pp. 1-5, May 2018
  4. W.-C. Sun, C.-H. Yang, and Y.-L. Ueng, “An Area-Efficient Multi-Mode LLR Computing Engine for MMSE-Based MIMO Detectors,” IEEE Vehicular Technology Conf. (VTC-Spring), Jun. 2017
  5. X.-H. Qian, Y.-C. Wu, T.-Y. Yang, C.-H. Cheng, H.-C. Chu, W.-H. Cheng, T.-Y. Yen,T.-H. Lin, Y.-J. Lin, Y.-C. Lee, J.-H. Chang, S.-T. Lin, S.-H. Li, T.-C. Wu, C.-C. Huang, C.-F. Lee, C.-H. Yang, C.-C. Hung, T.-S. Chi, C.-H. Liu, M.-D. Ker, and C.-Y. Wu, “A Bone-Guided Cochlear Implant CMOS Microsystem Preserving Acoustic Hearing,” Proc. Int. Symposium on VLSI Circuits (VLSI), pp. 46-47, Jun. 2017
  6. Y.-T. Chen, C.-C. Cheng, T.-L. Tsai, W.-C. Sun, Y.-L. Ueng, C.-H. Yang, “A 501mW 7.61Gb/s Integrated Message-Passing Detector and Decoder for Polar-Coded Massive MIMO Systems,” Proc. Int. Symposium on VLSI Circuits (VLSI), pp. 330-331, Jun. 2017
  7. H.-T. Lin, Y.-C. Wu, P.-H. Hsieh, C.-H. Yang, “Integration of Energy-Recycling Logic and Wireless Power Transfer for Ultra-Low-Power Implantables,” Int. Symposium Circuits and Systems (ISCAS), May 2017
  8. Y.-C. Wu, J.-H. Hung, C.-H. Yang, “A 135mW Fully Integrated Data Processor for Next-Generation Sequencing,” Int. Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 252-253, Feb. 2017
  9. T.-I. Chou, S.-W. Chiu, K.-H. Chang, Y.-J. Chen, C.-T. Tang, C.-H. Shih, C.-C. Hsieh, M.-F. Chang, C.-H. Yang, H. Chiueh, and K.-T. Tang, “Design of a 0.5V 1.68mW Nose-on-a-Chip for Rapid Screen of Chronic Obstructive Pulmonary Disease,” IEEE Biomedical Circuits & Systems Conf. (BioCAS), pp. 592-595, Nov. 2016
  10. H.-M. Liu, Y.-J. Lin, Y.-C. Lee, C.-Y. Lee, C.-H. Yang, “A 98.6μW Acoustic Signal Processor for Fully-Implantable Cochlear Implants,” Int. Symposium VLSI Design, Automation & Test (VLSI-DAT), pp. 1-4, Apr. 2016
  11. W.-C. Liu, C.-D. Chan, S.-A. Huang, C.-W. Lo, C.-H. Yang, S.-J. Jou, “Error-Resilient Sequential Cells with Successive Time Borrowing for Stochastic Computing,” Proc. Int. Conf. Acoustics, Speech and Signal Processing (ICASSP), pp. 6545-6549, Mar. 2016
  12. J.-H. Hung, P.-Y. Wang, B.-Y. Tsui, C.-H. Yang, “A Concept of Heterogeneous Circuits with Epitaxial Tunnel Layer Tunnel FETs,” Proc. Int. Conf. Solid State Devices and Materials (SSDM), Sept. 2015
  13. W.-H. Wu, W.-C. Sun, C.-H. Yang, and Y.-L. Ueng, “A 794Mbps 135mW Iterative Detection and Decoding Receiver for LDPC-Coded MIMO Systems,” Proc. Int. Symposium on VLSI Circuits (VLSI), pp. 102-103, Jun. 2015
  14. K.-T. Tang, S.-W. Chiu, C.-H. Shih, C.-L. Chang, C.-M. Yang, D.-J. Yao, J.-H. Wang, C.-M. Huang, H. Chen, K.-H. Chang, C.-C. Hsieh, T.-H. Chang, M.-F. Chang, C.-M. Wang, Y.-W. Liu, T.-J. Chen, C.-H. Yang, H. Chiueh, J.-M. Shyu, “A 0.5V 1.27mW Nose-on-a-Chip for Rapid Diagnosis of Ventilator-associated Pneumonia,” Int. Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 420-421, Feb. 2014
  15. T.-J. Chen, S.-C. Lee, C.-H. Yang, C.-F. Chiu, and H. Chiueh, “A 28.6μW Mixed-Signal Processor for Epileptic Seizure Detection,” Proc. Int. Symposium on VLSI Circuits (VLSI), pp. 52-53, Jun. 2013
  16. W.-M. Chen, H. Chiueh, T.-J. Chen, C.-L. Ho, C. Jeng, S.-T. Chang, M.-D. Ker, C.-Y. Lin, Y.-C. Huang, C.-W. Chou, T.-Y. Fan, M.-S. Cheng, S.-F. Liang, T.-C. Chien, S.-Y. Wu, Y.-L. Wang, F.-Z. Shaw, Y.-H. Huang, C.-H. Yang, J.-C. Chiou, C.-W. Chang, L.-C., “A Fully Integrated 8-Channel Closed-Loop Neural-Prosthetic SoC for Real-Time Epileptic Seizure Control,” Int. Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 286-287, Feb. 2013
  17. T.-H. Yu, C.-H. Yang, and D. Marković, “An Energy-Efficient VLSI Architecture for Wideband Spectrum Sensing for Cognitive Radios,” Proc. Global Communications Conference (GLOBECOM), pp. 1-6, Dec. 2011
  18. F.-L. Yuan, C.-H. Yang, and D. Marković, “A Hardware-Efficient VLSI Architecture for Hybrid Sphere-MCMC Detection,” Proc. Global Communications Conference (GLOBECOM), pp. 1-6, Dec. 2011
  19. V. Karkare, S. Gibson, C.-H. Yang, H. Chen, and D. Marković, “A 75μW, 16-Channel Neural Spike-Sorting Processor with Unsupervised Clustering,” Proc. Int. Symposium on VLSI Circuits (VLSI), pp. 252-253, Jun. 2011
  20. T.-H. Yu, C.-H. Yang, D. Čabrić, and D. Marković, “A 7.4mW 200MS/s Spectrum Sensing Digital Baseband Processor for Cognitive Radios,” Proc. Int. Symposium on VLSI Circuits (VLSI),, pp. 254-25, Jun. 2011
  21. C.-H. Yang, T.-H. Yu, and D. Marković, “A 5.8mW 3GPP-LTE Compliant 8x8 MIMO Sphere Decoder Chip with Soft-Outputs,” Proc. Int. Symposium on VLSI Circuits (VLSI), pp. 209-210, Jun. 2010
  22. C.-H. Yang and D. Marković, “A Multi-Core Sphere Decoder VLSI Architecture for MIMO Communications,” Proc. Global Communications Conference (GLOBECOM), pp. 3297-3301, Dec. 2008
  23. R. Nanda, C.-H. Yang, D. Marković, “DSP Architecture Optimization in Matlab/Simulink Environment,” Proc. Int. Symposium on VLSI Circuits (VLSI), pp. 192-193, Jun. 2008
  24. C.-H. Yang and D. Marković, “A Flexible VLSI Architecture for Extracting Diversity and Spatial Multiplexing Gains in MIMO Channels,” Proc. Int. Conference on Communications (ICC), pp. 725-731, May 2008
  25. C.-H. Yang, K.-H. Chen, T.-D. Chiueh, “A 1.2V 6.7mW Impulse-Radio UWB Baseband Transceiver,” Int. Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 442-443, Feb. 2005

Patents:

  1. M.-R. Li, C.-H. Yang, and Y.-L. Ueng, “Extreme index finder and finding method thereof,” US 9,748,968 B1, Aug. 2017
  2. C.-H. Yang, H.-M. Liu, Y.-J. Lin, “Data allocating apparatus, signal processing apparatus, and data allocating method,” US 9,529,539, Dec. 2016
  3. C.-H. Yang, P.-H. Hsieh, C.-Y. Lee, “Energy Recycling Systems and Recycling Method Thereof,” US 9,431,910 B1, Aug. 2016
  4. C.-H. Yang and Y.-C. Tsai, “Multiple Input Multiple Output Wireless Communication System and Channel Decomposition Method Thereof,” US 9,306,641, Apr. 2016
  5. S.-J. Jou, C.-H. Yang, W.-C. Liu, C.-W. Lo, C.-D. Chan, “Sampling Circuit and Master-Slave Flip-Flop,” US 9,608,603 B2, Mar. 2016
  6. C.-H. Yang and Y.-C. Tsai, “Multiple Input Multiple Output Wireless Communication System and Channel Decomposition Method Thereof,” US 9,231,679, Jan. 2016
  7. C.-H. Yang, C.-E. Chen, and C.-W. Jou, “Method and system for constrained power allocation in the multi-input multi-output systems,” US 9,231,674, Jan. 2016
  8. Y.-L. Ueng, C.-H. Yang, M. R. Li, “Unequal Bit-reliability Information Storage Method for Communication and Storage Systems,” US 9,058,880 B2, Aug. 2014