陳良基名譽教授的著作列表 - Publication List of Liang-Gee Chen

Publication List of 陳良基 Liang-Gee Chen

Journal articles & book chapters:

  1. Chung-Te Li, Yen-Chieh Lai, Chien Wu, Sung-Fang Tsai, Tung-Chien Chen, Shao-Yi Chien, Liang-Gee Chen, “Brain-Inspired Framework for Fusion of Multiple Depth Cues,” IEEE Transactions on Circuits and Systems for Video Technology, Vol. pp, Issue:99, pp.1, Oct. 2012
  2. Yu-Chi Su, Keng-Yen Huang, Tse-Wei Chen, Yi-Min Tsai, Shao-Yi Chien and Liang-Gee Chen, “A 52mW Full HD 80-Degree Viewpoint Recognition SoC with Visual Vocabulary Processor for Wearable Vision Applications,” IEEE Journal of Solid State Circuit (JSSC), vol.47, no.4, pp.797-809, Apr. 2012
  3. Hong-Hui Chen, Cheng-Yi Chiang, Tung-Chien Chen, Chien-Sheng Liu, Yu-Jie Huang, Shey-Shi Lu, Chii-Wann Lin, Liang-Gee Chen, “Analysis and Design of On-sensor ECG Processors for Realtime Detection of Cardiac Anomalies Including VF, VT, and PVC,” Journal of Signal Processing Systems, Vol 65, Issue 2, Page 275-285, Aug. 2011
  4. Sung-Fang Tsai, Chao-Chung Cheng, Chung-Te Li, and Liang-Gee Chen, “A Real- Time 1080p 2D-to-3D Video Conversion System,” IEEE Transactions on Consumer Electronics, vol.57, no.2, pp.915-922, May 2011
  5. S.-Y. Chien and L.-G. Chen, “Reconfigurable Morphological Image Processing Accelerator for Video Object Segmentation,” Journal of Signal Processing Systems, Vol. 62, no. 1, pp. 77-96, Jan. 2011
  6. Tse-Wei Chen, Yu-Chi Su, Keng-Yen Huang, Yi-Ming Tsai, Shao-Yi Chien and Liang-Gee Chen, “Visaul Vocabulary Processor Based on Binary Tree Architecture for Full-HD Object Recognition,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2011
  7. W.-M. Chao and L.-G. Chen, “Pyramid Architecture for 3840×2160 Quad Full High Definition 30 Frames/s Video Acquisition,” IEEE Transactions Circuits and Systems for Video Technology, Vol. 20, No. 1, pp1499-1508, Nov. 2010
  8. Tse-Wei Chen,Chi-Sun Tang,Sung-Fang Tsai,Chen-Han Tsai,Shao-Yi Chien,Member,IEEE, and Liang-Gee Chen, “Tera-Scale Performance Machine Learning SoC (MLSoC) With Dual Stream Processor Architecture for Multimedia Content Analysis,” IEEE Journal of Solid State Circuit (JSSC), Vol. 45, No. 11,, pp2321-2329, Nov. 2010
  9. C.-C. Cheng, C.-T. Li, and L.-G. Chen,, “Video 2-D to 3-D conversion based on hybrid depth cueing,” Journal of the Society for Information Display, Vol. 18, No. 9, pp.704-716, Sept. 2010
  10. Pei-Kuei Tsung, Li-Fu Ding, Wei-Yin Chen, Tzu-Der Chuang, Yu-Han Chen, Pai-Heng Hsiao, Shao-Yi Chien, and Liang-Gee Chen, “Video Encoder Design for High Definition 3D Video Communication Systems,” IEEE Communication Magazine, vol. 48, Issue 4, pp76-86, Apr. 2010
  11. L.-F. Ding, W.-Y. Chen, P.-K. Tsung, T.-D. Chuang, P.-H. Hsiao, Y.-H. Chen, H.-K. Chiu, S.-Y. Chien, and L.-G. Chen ,, “A 212MPixels/s 4096x2160p Multiview Video Encoder Chip for 3D/Quad Full HDTV Applications,” IEEE Journal of Solid State Circuit (JSSC), Vol. 45, NO. 1, pp.46-58, Jan. 2010
  12. L.-F. Ding, P.-K. Tsung, S.-Y. Chien, W.-Y. Chen, and L.-G. Chen, “Content-Aware Prediction Algorithm with Inter-View Mode Decision for Multiview Video Coding,” IEEE Transactions on Multimedia, to appear, 2010
  13. Y.-H. Chen, T.-C. Chen, C.-Y. Tsai, S.-F. Tsai, and L.-G. Chen, “Algorithm and Architecture Design of Power-oriented H.264/AVC Baseline Profile Encoder for Portable Devices,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 19, No.8, pp.1118-1128, Aug. 2009
  14. C.-C. Cheng, P.-C. Tseng, and L.-G. Chen, “Multi-Mode Embedded Compression Codec Engine for Power-Aware Video Systems,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 19, No. 2, pp. 141-150, Feb. 2009
  15. C.-C. Cheng, C.-H. Lin, C.-T. Li, and L.-G. Chen, “iVisual: An Intelligent Visual Sensor SoC with 2790fps CMOS Image Sensor and 205GOPS/W Vision Processor,” IEEE Journal of Solid-State Circuits, vol. 44, pp. 127-135 (Invited paper), Jan. 2009
  16. C.-H. Pan, C.-Y. Chien, W.-M. Chao, S.-C. Huang, and L.-G. Chen, “Architecture design of full HD JPEG XR encoder for digital photography applications,” IEEE Transactions on Consumer Electronics, vol. 54, pp. 963-971, Aug. 2008
  17. Y.-H. Chen, T.-C. Chen, S.-Y. Chien, Y.-W. Huang, and L.-G. Chen, “VLSI Architecture Design of Fractional Motion Estimation for H.264/AVC,” Journal of Signal Processing Systems, vol. 53, No. 3, pp. 335-347, Jun. 2008
  18. Y.-H. Chen, S.-Y. Chien, C.-Y. Chen, Y.-W. Huang, and L.-G. Chen, “Analysis and Hardware Architecture Design of Global Motion Estimation,” Journal of Signal Processing Systems, vol. 53, No. 3, pp. 285-300, Apr. 2008
  19. Y.-H. Chen, T.-C. Chen, C.-Y. Tsai, S.-F. Tsai, and L.-G. Chen, “Data Reuse Exploration for Low Power Motion Estimation Architecture Design in H.264 Encoder,” The Journal of VLSI Signal Processing, vol. 50, No. 1, Jan. 2008
  20. Y.-H. Chen, C.-C. Cheng, T.-D. Chuang, C.-Y. Chen, S.-Y. Chien, and L.-G. Chen, “Efficient Architecture Design of Motion-Compensated Temporal Filtering/Motion Compensated Prediction Engine,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 18, pp. 98-109, Jan. 2008
  21. Y.-W. Chang, H.-C. Fang, C.-C. Chen, C.-J. Lian, and L.-G. Chen, “Word-Level Parallel Architecture of JPEG 2000 Embedded Block Coding Decoder,” IEEE Transactions on Multimedia, vol. 9, pp. 1103-1112, Oct. 2007
  22. C.-H. Pan, I. -H. Lee, S.-C. Huang, C.-J Lian, and L.-G. Chen, “A quality-of Experience Video Adaptor for Serving Scalable Video Applications,” IEEE Transactions on Consumer Electronics, Volume 53, pp. 1130-1137, Aug. 2007
  23. Cheng, C.-C., Huang, C.-T., Chen, C.-Y., Lian, C.-J., and Chen, L.-G., “ On-Chip Memory Optimization Scheme for VLSI Implementation of Line-Based Two-Dimentional Discrete Wavelet Transform,” IEEE Transactions on Circuits and Systems for Video Technology, Volume 17, Issue 7, pp. 814 - 822, Jul. 2007
  24. Chen, T.C., Chen, Y.H., Tsai, S.F., Chien, S.Y., and Chen, L.G., “Fast Algorithm and Architecture Design of Low-Power Integer Motion Estimation for H.264/AVC,” IEEE Transactions on Circuits and Systems for Video Technology, Volume 17, Issue 5, pp. 568 - 577, May 2007
  25. Chang, Y.W., Cheng, C.C., Chen, C.C., Fang, H.C., and Chen, L.G., “124 MSamples/s Pixel-Pipelined Motion-JPEG 2000 Codec Without Tile Memory,” IEEE Transactions on Circuits and Systems for Video Technology, Volume 17, Issue 4, pp. 398 - 406, Apr. 2007
  26. Tung-Chien Chen, Chuan-Yung Tsai, Yu-Wen Huang, and Liang-Gee Chen, “Single Reference Frame Multiple Current Macroblocks Scheme for Multiple Reference Frame Motion Estimation in H.264/AVC,” IEEE Transactions on Circuits and Systems for Video Technology, Volume 17, Issue 2,, pp. 242 - 247, Feb. 2007
  27. Lian, C.J., Chien, S.Y., Lin, C.P., Tseng, P.C., and Chen, L.G., “Power-Aware Multimedia: Concepts and Design Perspectives,” IEEE Circuits and Systems Magazine, Volume 7, Issue 2, pp. 26 - 34, 2007
  28. H.-C. Fang, Y.-W. Chang, C.-C. Cheng, C.-C. Chen, and L.-G. Chen, “Memory Efficient JPEG 2000 Architecture with Stripe Pipeline Scheduling,” IEEE Transactions on Journal of VLSI Signal Processing, Vol. 54 Issue 12,, pp. 4807-4816, Dec. 2006
  29. Ding, L.-F., Chien, S.-Y., and Chen, L.-G., “Joint Prediction Algorithm and Architecture for Stereo Video Hybrid Coding Systems,” IEEE Transactions on Circuits and Systems for Video Technology, Volume 16, pp 1324-1337, Nov. 2006
  30. Chang, Y.-W., Fang, H.-C., Cheng, C.-C., Chen, C.-C., and Chen, L.-G., “Precompression Quality-Control Algorithm for JPEG 2000,” IEEE Transactions on Image Processing, Volume 15, pp 3279-3293, Nov. 2006
  31. Chen, C.-Y., Huang, C.-T., Chen, Y.-H., Chien, S.-Y., and Chen, L.-G., “System Analysis of VLSI Architecture for 5/3 and 1/3 Motion-Compensated Temporal Filtering,” IEEE Transactions on Signal Processing, Volume 54, pp 4004-4014, Oct. 2006
  32. Chen, T.-C., Huang, Y.-W., Tsai, C.-Y., Hsieh, B.-Y., and Chen, L.-G., “Architecture Design of Context-Based Adaptive Variable-Length Coding for H.264/AVC,” IEEE Transactions on Circuits and Systems II: Express Briefs, Volume 53, pp 832-836, Sept. 2006
  33. Chen, C.-Y., Huang, Y.-W., Lee, C.-L., and Chen, L.-G., “One-Pass Computation-Aware Motion Estimation With Adaptive Search Strategy,” IEEE Transactions on Multimedia, Volume 8, pp. 698-706, Aug. 2006
  34. Fang, H.-C., Chang, Y.-W., Wang, T.-C., Huang, C.-T., and Chen, L.-G., “High-Performance JPEG 2000 Encoder With Rate-Distortion Optimization,” IEEE Transactions on Multimedia, Volume 8, pp 645-653, Aug. 2006
  35. Yung-Chi Chang, Chih-Wei Hsu, Wei-Min Chao and Liang-Gee Chen, “Interactive Content-aware Video Streaming System with Fine Granularity Scalability,” Journal of VLSI Signal Processing Systems, Volume 44, Pages: 117 - 134, Aug. 2006
  36. Tung-Chien Chen, Shao-Yi Chien, Yu-Wen Huang, Chen-Han Tsai, Ching-Yeh Chen, To-Wei Chen, and Liang-Gee Chen, “Analysis and architecture design of an HDTV720p 30 frames/s H.264/AVC encoder,” IEEE Transactions on Circuits and Systems for Video Technology, Volume 16, pp 673-688, Jun. 2006
  37. Tung-Chien Chen, Hung-Chi Fang, Chung-Jr Lian, Chen-Han Tsai, Yu-Wen Huang, To-Wei Chen, Ching-Yeh Chen, Yu-Han Chen, Chuan-Yung Tsai, and Liang-Gee Chen, “Algorithm analysis and architecture design for HDTV applications,” IEEE Circuits and Devices Magazine, Vol.22, Issue 3, pp.22-31, May 2006
  38. Yu-Wen Huang, Bing-Yu Hsieh, Shao-Yi Chien, Shyh-Yih Ma, and Liang-Gee Chen, “Analysis and complexity reduction of multiple reference frames motion estimation in H.264/AVC,” IEEE Transactions on Circuits and Systems for Video Technology, Volume 16, no. 4, pp 507-522, Apr. 2006
  39. Ching-Yeh Chen, Chao-Tsung Huang, Yi-Hau Chen, and Liang-Gee Chen, “Level C+ data reuse scheme for motion estimation with corresponding coding orders,” IEEE Transactions on Circuits and Systems for Video Technology, Volume 16, no. 4, pp 553-558, Apr. 2006
  40. Ching-Yeh Chen, Shao-Yi Chien, Yu-Wen Huang, Tung-Chien Chen, Tu-Chih Wang, and Liang-Gee Chen, “Analysis and architecture design of variable block-size motion estimation for H.264/AVC,” IEEE Transactions on Circuits and Systems I: Regular Papers, Volume 53, no. 3, pp 578-593, Mar. 2006
  41. Shao-Yi Chien, Bing-Yu Hsieh, Yu-Wen Huang, Shyh-Yih Ma and Liang-Gee Chen, “Hybrid Morphology Processing Unit Architecture for Moving Object Segmentation Systems,” Journal of VLSI Signal Processing Systems, Volume 42, no. 3, Pages: 241 - 255, Mar. 2006
  42. Yu-Wen Huang, Ching-Yeh Chen, Chen-Han Tsai, Chun-Fu Shen and Liang-Gee Chen, “Survey on Block Matching Motion Estimation Algorithms and Architectures with New Results,” Journal of VLSI Signal Processing Systems, Volume 42, no. 3, Pages: 297 - 320, Mar. 2006
  43. Yung-Chi Chang, Wei-Min Chao, Chih-Wei Hsu and Liang-Gee Chen, “Platform-Based MPEG-4 SOC Design for Video Communications,” Journal of VLSI Signal Processing Systems, Volume 42, no. 1, Pages: 7 - 19, Jan. 2006
  44. Y.-L. Chang, S.-F. Lin, C.-Y. Chen, and L.-G. Chen, “Video De-interlacing by Adaptive 4-Field Global/Local Motion Compensated Approach,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 15, no. 12, pp. 1569-1582, Dec. 2005
  45. S.-W. Huang, T.-H. Tsai, and L.-G. Chen, “Fast decomposition of filterbanks for the state-of-the-art audio coding,” IEEE Signal Processing Letters, vol. 12, no. 10, pp. 693-396, Oct. 2005
  46. Y.-C. Chang, C.-C. Huang, W.-M. Chao, and L.-G. Chen, “An Efficient Embedded Bitstream Parsing Processor for MPEG-4 Video Decoding System,” Journal of VLSI Signal Processing Systems, Vol. 41, No. 2, pp. 183-191, Sept. 2005
  47. H.-C. Fang, Y.-W. Chang, T.-C. Wang, C.-J. Lian, and L.-G. Chen, “Parallel Embedded Block Coding Architecture for JPEG 2000,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 15, No. 9, pp. 1086-1097, Sept. 2005
  48. Shao-Yi Chien, Shyh-Yih Ma, and Liang-Gee Chen, “Partial-result-reuse architecture and its design technique for morphological operations with flat structuring elements,” IEEE Transactions on Circuits and Systems for Video Technology, Volume 15, no. 9, pp 1156-1169, Sept. 2005
  49. S.-Y. Chien, Y.-W. Huang, C.-Y. Chen, H. H. Chen, and L.-G. Chen, “Hardware architecture design of video compression for multimedia communication systems,” IEEE Communications Magazine, vol. 43, no. 8, pp. 122-131, Aug. 2005
  50. P.-C. Tseng, C.-T. Huang, and L.-G. Chen, “Reconfigurable discrete wavelet transform processor for heterogeneous reconfigurable multimedia systems,” Journal of VLSI Signal Processing Systems, vol. 41, no. 1, pp. 35-47, Aug. 2005
  51. C.-T. Huang, P.-C. Tseng, and L.-G. Chen, “Generic RAM-based architectures for two-dimensional discrete wavelet transform with line-based method,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 15, no. 7, pp. 910-920, Jul. 2005
  52. Huang, C.T., Tseng, P.C., and Chen, L.G., “VLSI Architecture for Forward Discrete Wavelet Transform Based on B-spline Factorization,” Journal of VLSI Signal Processing Systems, vol. 40, pp 343-353, Jul. 2005
  53. P.-J. Lee, Homer H. Chen, W.-J. Wang, and L.-G. Chen, “Feature-Based Error Concealment for Object-Based Video,” IEICE Transactions Visual Communications, vol. E88-B, no. 6, p.2616-2626, Jun. 2005
  54. C.-T. Huang, P.-C. Tseng, L.-G. Chen, “VLSI Architecture for Lifting-based Shape-Adaptive Discrete Wavelet Transform with Odd-symmetric Filters,” Journal of VLSI Signal Processing Systems, vol. 40, pp 175-188, Jun. 2005
  55. C.-T. Huang, P.-C. Tseng, and L.-G. Chen, “Analysis and VLSI architecture for 1-D and 2-D discrete wavelet transform,” IEEE Transactions on Signal Processing, vol. 53, NO. 4., pp. 1575-1586, Apr. 2005
  56. Y.-W. Huang, B.-Y. Hsieh, T.-C. Chen, and L.-G. Chen, “Analysis, fast algorithm, and VLSI architecture design for H.264/AVC intra frame coder,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 15, pp. 378-401, Mar. 2005
  57. P.-C Tseng, Y.-C. Chang, Y.-W. Huang, H.-C. Fang, C.-T. Huang, and L.-G. Chen, “Advances in hardware architectures for image and video coding – a survey,” Proceedings of IEEE, vol. 93, no. 1, pp. 184-197, Jan. 2005
  58. S.-W. Huang, T.-H. Tsai, and L.-G. Chen, “A low complexity design of psycho-acoustic model for MPEG-2/4 advanced audio coding,” IEEE Transactions on Consumer Electronics, Vol. 50, No. 4, pp. 1209-1217, Nov. 2004
  59. S.-Y. Chien, Y.-W. Huang, B.-Y. Hsieh, S.-Y. Ma, and L.-G. Chen, “Fast video segmentation algorithm with shadow cancellation, global motion compensation, and adaptive threshold techniques,” IEEE Transactions on Multimedia, vol. 6, no. 5, pp. 732-748, Oct. 2004
  60. Y.-W. Huang, S.-Y. Chien, B.-Y. Hsieh, and L.-G. Chen, “Global elimination algorithm and architecture design for fast block matching motion estimation,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 14, no. 6, pp. 898-907, Jun. 2004
  61. C.-T. Huang, P.-C. Tseng, and L.-G. Chen, “Flipping structure: an efficient VLSI architecture for lifting-based discrete wavelet transform,” IEEE Transactions on Signal Processing, vol. 52, no. 4, pp. 1080-1089, Apr. 2004
  62. S.-F. Lin, S.-C. Huang, F.-S. Yang, C.-W. Ku, and L.-G. Chen, “Power-efficient FIR filter architecture design for wireless embedded system,” IEEE Transactions on Circuits and Systems, Part II, vol. 51, no. 1, pp. 21-25, Jan. 2004
  63. P. -J. Lee and L. -G. Chen, “Error concealment algorithm using interested direction for JPEG 2000 image transmission,” IEEE Transactions on Consumer Electronics, vol. 49, no. 4, pp. 1305-1401, Nov. 2003
  64. S. -F. Lin, Y. -L. Chang, and L. -G. Chen, “Motion adaptive interpolation with horizontal motion detection for deinterlacing,” IEEE Transactions on Consumer Electronics, vol. 49, no. 4, pp. 1256-1265, Nov. 2003
  65. S. -Y. Chien, Y. -W. Huang, and L. -G. Chen, “Predictive watershed: a fast watershed algorithm for video segmentation,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 13, no. 5, pp. 453-461, May 2003
  66. C. -J. Lian, K. -F. Chen, H. -H. Chen, and L. -G. Chen, “Analysis and architecture design of block coding engine for EBCOT in JPEG-2000,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 13, no. 3, pp. 219-230, Mar. 2003
  67. C. -J. Lian, Z. -L. Yang, H. -C. Chang, and L. -G. Chen, “Hardware-efficient architecture design for zerotree coding in MPEG-4 still texture coder,” IEICE Transactions on Fundamentals of Electronics, Communications and Computer Science, vol. E86-A, no. 2, pp. 472–479, Feb. 2003
  68. Y. -W. Huang, S. -Y. Ma, C. -F. Shen, and L. -G. Chen, “Predictive line search: an efficient motion estimation algorithm for MPEG-4 encoding systems on multimedia processors,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 13, no. 1, pp. 111-117, Jan. 2003
  69. T. -C. Wang, H. -C. Fang and L. -G. Chen, “Low delay and error robust wireless video transmission architecture for video communications,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 12, no. 12, pp. 1049-1058, Dec. 2002
  70. H.C. Chang, Y.C. Wang, W.M. Chao, and L.G. Chen, “VLSI architecture design of MPEG-4 shape coding,” IEEE Trans. on Circuits and Systems for Video Technology, vol.12, no.9, pp. 741-751, Sept. 2002
  71. S.Y. Chien, S.Y. Ma, and L.G. Chen, “Efficient moving object segmentation algorithm using background registration technique,” IEEE Trans. on Circuits and Systems for Video Technology, vol.12, no.7, pp. 577-586, Jul. 2002
  72. C. K. Chen, P. C. Tseng, Y. C. Chang, and L. G. Chen, “A Digital Signal Processor with Programmable Correlator Array Architecture for 3rd Generation Wireless Communication System,” IEEE Trans. on Circuits and Systems II: Analog and Digital Signal Processing, Vol. 48, No. 12, pp1-11, Nov. 2001
  73. T. H. Tsai, R. J. Wu, and L. G. Chen, “A Cost-Effective Design for MPEG-2 Audio Decoder with Embedded RISC Core,” Journal of VLSI Signal Processing, Vol. 29, pp. 255-265, Nov. 2001
  74. P. C. Tseng, C. K. Chen, and L. G. Chen, “CDSP: An Application-Specific Digital Signal Processor for Third Generation Wireless Communications,” IEEE Trans. on Consumer Electronics, Vol. 47, pp. 672-677, Aug. 2001
  75. J. F. Shen, T. C. Wang, and L. G. Chen, “A Novel Low-Power Full Search Block-Matching Motion Estimation Design for H.263+,” IEEE Trans. on Circuits and Systems for Video Technology, Vol.11, No.7, pp890-897, Jul. 2001
  76. C. Y. Chen, Z. L. Yang, T. C. Wang, and L. G. Chen, “A Programmable Parallel VLSI Architecture for 2D Discrete Wavelet Transform,” Journal of VLSI Signal Processing, Vol. 28, pp. 151-163, Jul. 2001
  77. P. C. Wu and L. G. Chen, “An efficient architecture for two-dimensional discrete wavelet transform,” IEEE Trans. On Circuits and Systems for Video Technology, Vol.11, No.4, pp536-545, Apr. 2001
  78. H. C. Chang, J. Y. Jiu, L. L. Chen, and, L. G. Chen, “A Low Power 8 × 8 Direct 2-D DCT Chip Design,” Journal of VLSI Signal Processing, Vol. 26, pp. 319-332, Nov. 2000
  79. R. X. Chen, L. G. Chen, and L. Chen, “System Design Consideration for Digital Wheelchair Controller,” IEEE Trans. on Industrial Electronics, Vol. 47, No. 4, pp. 898-907, Aug. 2000
  80. T. H. Tsai and L. G. Chen, “ A Novel Architecture of Inverse Quantization and Multichannel Processing for MPEG-2 Audio Decoding,” IEEE Trans. on Circuits and Systems II: Analog and Digital Signal Processing, Vol. 47, No. 1, pp. 75-78, Jan. 2000
  81. S. Y. Ma and L. G. Chen, “A Single Chip CMOS APS Camera with Direct Frame Difference Output,” IEEE Journal of Solid-State Circuits, Vol. 34, No. 10, Oct. 1999
  82. S. C. Huang and L. G. Chen, “A Log-Exp Still Image Compression Chip Design,” IEEE Trans. On Consumer Electronics, pp. 812-819, Aug. 1999

Conference & proceeding papers:

  1. Hong-Hui Chen, Chao-Tsung Huang, Sih-Sian Wu, Chia-Liang Hung, Tsung-Chuan Ma, and Liang-Gee Chen, “A 1920×1080 30fps 611 mW five-view depth-estimation processor for light-field applications,” IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, U.S.A, Feb. 2015
  2. Chun-Wei Yu, Che-Wei Chang, and Liang-Gee Chen, “A Real-Time 3D Interactive System with Stereo Camera in the Uncertain Background,” IEEE International Conference on Consumer Electronics (ICCE), Las Vegas, U.S.A, Jan. 2015
  3. I-Kuei Chen, Chung-Yu Chi, Szu-Lu Hsu, and Liang-Gee Chen, “An Integrated System for Object Tracking, Detection, and Online Learning with Real-Time RGB-D Video,” IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP), Florence, Italy, May 2014
  4. I-Kuei Chen, Szu-Lu Hsu, Chung-Yu Chi, and Liang-Gee Chen, “Automatic Video Segmentation and Object Tracking with Real-Time RGB-D Data,” IEEE International Conference on Consumer Electronics(ICCE), Las Vegas, U.S.A, Jan. 2014
  5. I-Kuei Chen, Chung-Yu Chi, Szu-Lu Hsu, and Liang-Gee Chen, “A Real-Time System for Object Detection and Location Reminding with RGB-D Camera,” IEEE International Conference on Consumer Electronics (ICCE), Las Vegas, U.S.A, Jan. 2014
  6. Tsung-Chuan Ma, Tung-Chien Chen, and Liang-Gee Chen, “Design and Implementation of Low Power Spike Detection Processor for 128-Channel Spike Sorting Microsystem,” IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP), Florence, Italy, Jan. 2014
  7. Yu-Jung Chen, Shan-Yi Chuang, Chung-Yao Hung, Chao-Hsien Hsu, Chia-Ming Chang, Shao-Yi Chien, and Liang-Gee Chen, “A 130.3mW 16-core mobile GPU with power-aware approximation techniques,” IEEE Asian Solid-State Circuits Conference (A-SSCC), Singapore, Nov. 2013
  8. Sung-Fang Tsai, Chung-Te Li, Hsuan-Hung Chen, Pei-Kuei Tsung, Kuan-Yu Chen, Liang-Gee Chen, “A 1062Mpixels/s 8192x4320p High Efficiency Video Coding (H.265) Encoder Chip,” Symposium on VLSI Circuits (VLSIC), Kyoto, Japan, Jun. 2013
  9. Yi-Min Tsai, Tien-Ju Yang, and Liang-Gee Chen, “A 401GFlops/W 16-Cores Signal Reconstruction Platform with a 4G Entries/s Matrix Generation Engine for Compressed Sensing and Sparse Representation,” Symposium on VLSI Circuits (VLSIC), Kyoto, Japan, Jun. 2013
  10. Cheng-Yuan Ko, Chung-Te Li, Chen-Han Chung, and Liang-Gee Chen, “High Accuracy User’s Distance Estimation by Low Cost Cameras,” International Conference on 3D systems and Applications (3DSA), Osaka, Japan, Jun. 2013
  11. Cheng-Yuan Ko and Liang-Gee Chen, “Acquire User's Distance by Face Detection,” IEEE International Symposium on Consumer Electronics (ISCE), Hsinchu, Taiwan, Jun. 2013
  12. Li-Fang Cheng, Tung-Chien Chen, and Liang-Gee Chen, “Low-Power Multi-Processor System Architecture Design for Universal Biomedical Signal Processing,” IEEE International Symposium on Circuits and Systems (ISCAS), Beijing, China, May 2013
  13. Cheng-Yuan Ko, Chung-Te Li, Chen-Han Chung, and Liang-Gee Chen, “3D hand localization by low-cost webcams,” IS&T/SPIE Electronic Imaging (IS&T/SPIE EI), San Francisco, USA, Jan. 2013
  14. Wan-Yu Chen, Jia-Lin Chen, Yu-Chi Su, and Liang-Gee Chen, “Intelligent document capturing and blending system based on robust feature matching with an active camera,” Consumer Electronics(ICCE), Las Vegas, U.S.A, Jan. 2013
  15. Chieh-Han Wu, Chung-Yu Chi, Yi-Min Tsai and Liang-Gee Chen, “Compressive Sensing Based Client-Cloud System for 3D Depth Reconstruction,” IEEE International Conference on Consumer Electronics-Berlin (ICCE-Berlin), Berlin, Germany, Sept. 2012
  16. Chien Wu, Chung-Te Li, Chen-Han Chung, Cheng-Yuan Ko, Liang-Gee Chen, “A Viewer Centric Depth Adjustment For Stereoscopic Images,” IEEE International Conference on Consumer Electronics-Berlin (ICCE-Berlin), Berlin, Germany, Sept. 2012
  17. I-Kuei Chen and Yi-Min Tsai and Jyh-Jing Hwang and Liang-Gee Chen, “A Real-time Multi-User Face Unlock System via Fast Sparse Coding,” IEEE International Conference on Consumer Electronics-Berlin (ICCE-Berlin), Berlin, Germany, Sept. 2012
  18. Chia-Hsiang Lee and Liang-Gee Chen, “An Intelligent Depth-Based Obstacle Detection for Mobile Applications,” IEEE International Conference on Consumer Electronics-Berlin (ICCE-Berlin), Berlin, Germany, Sept. 2012
  19. Hsuan-Hung Chen, Sung-Fang Tsai, Chung-Te Li, Pei-Kuei Tsung and Liang-Gee Chen, “Fast Adaptive Loop Filter Algorithm for High Efficiency Video Coding,” IEEE International Conference on Consumer Electronics-Berlin (ICCE-Berlin), Berlin, Germany, Sept. 2012
  20. Hong-Hui Chen, Tung-Chien Chen and Liang-Gee Chen, “Assessing Normality of Heart Sound by Matching Pursuit Residue with Frequency-domain-based Templates,” Proceedings of IEEE Conference of Engineering in Medicine and Biology Society (EMBC 2012), San Diego, California, USA, Aug. 2012
  21. Nai-Fu Chang, Tung-Chien Chen, Cheng-Yi Chiang and Liang-Gee Chen, “Channel Selection for Epilepsy Seizure Prediction Method Based on Machine Learning,” Proceedings of IEEE Conference of Engineering in Medicine and Biology Society (EMBC 2012), San Diego, California, USA, Aug. 2012
  22. Li-Fang Cheng, Tung-Chien Chen and Liang-Gee Chen, “Architecture Design of the Multi-functional Wavelet-based ECG Microprocessor for Realtime Detection of Abnormal Cardiac Events,” Proceedings of IEEE Conference of Engineering in Medicine and Biology Society (EMBC 2012), San Diego, California, USA, Aug. 2012
  23. Tung-Chien Chen,Tsung-Chuan Ma, Yun-Yu Chen and Liang-Gee Chen, “Low Power and High Accuracy Spike Sorting Microprocessor with On-line Interpolation and Re-alignment in 90nm CMOS Process,” Proceedings of IEEE Conference of Engineering in Medicine and Biology Society (EMBC 2012), San Diego, California, USA, Aug. 2012
  24. Chien Wu, Chung-Te Li, Yen-Chieh Lai, Liang-Gee Chen, “A depth adaptation system based on perceptual horopter effect,” Consumer Electronics (ISCE), 2012 IEEE 16th International Symposium, Jun. 2012
  25. Chung-Te Li, Chien Wu, Cheng-Yuan Ko, Chen-Han Chung and Liang-Gee Chen, “Visual Discomfort Estimation for Stereoscopic 3D Contents,” International Conference on 3D systems and Applications (3DSA 2012), Hsinchu, Taiwan, Jun. 2012
  26. Chien Wu, Chung-Te Li, Cheng-Yuan Ko, Chen-Han Chung and Liang-Gee Chen, “2D to 3D Conversion for Outdoor Scenes,” International Conference on 3D systems and Applications (3DSA 2012), Hsinchu, Taiwan, Jun. 2012
  27. Cheng-Yuan Ko,Chung-Te Li,Chien Wu and Liang-Gee Chen, “An Efficient Method for Extracting the Depth Data from the User,” International Conference on 3D systems and Applications (3DSA 2012), Hsinchu, Taiwan, Jun. 2012
  28. Yi-Min Tsai, Tien-Ju Yang, Chih-Chung Tsai, Keng-Yen Huang and Liang-Gee Chen, “A 69mW 140-meter/60fps and 60-meter/300fps Intelligent Vision SoC for Versatile Automotive Applications,” IEEE International Symposium on VLSI Circuits (SOVC), Honolulu, Hawaii, Jun. 2012
  29. Chia-Hsiang Lee, Yu-Chi Su and Liang-Gee Chen, “An Intelligent Depth-based Obstacle Detection System for Visually-impaired Aid Applications,” 13th International Workshop on Image Analysis for Multimedia Interactive Services (WIAMIS), Dublin, Ireland, May 2012
  30. Keng-Yen Huang, Yi-Min Tsai, Tien-Ju Yang and Liang-Gee Chen, “A High Speed Feature Matching Architecture for Real-time Video Stabilization,” IEEE International Symposium on Circuits and Systems (ISCAS 2012), Seoul, Korea, May 2012
  31. Chia-Hsiang Lee, Yu-Chi Su and Liang-Gee Chen, “Accurate Positioning System Based On Street View Recognition,” Proceedings of IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP 2012), Kyoto, Japan, Mar. 2012
  32. Chuan-Yung Tsai, Yu-Ju Lee, Chun-Ting Chen and Liang-Gee Chen, “A 1.0TOPS/W 36-Core Neocortical Computing Processor with 2.3Tb/s Kautz NoC for Universal Visual Recognition,” IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, Feb. 2012
  33. Yi-Sheng Hsieh, Yu-Chi Su and Liang-Gee Chen, “Robust Moving Object Tracking and Trajectory Prediction for Visual Navigation in Dynamic Environments,” IEEE International Conference on Consumer Electronics (ICCE), Las Vegas, U.S.A, Jan. 2012
  34. Chung-Te Li, Yen-Chieh Lai, Chien Wu, Sung-Fang Tsai and Liang-Gee Chen, “3D Image Correction by Hilbert Huang Decomposition,” IEEE International Conference on Consumer Electronics (ICCE), Las Vegas, U.S.A, Jan. 2012
  35. Sung-Fang Tsai, Pei-Kuei Tsung, Kuan-Yu Chen, Chung-Te Li, Sung-Fang Tsai and Liang-Gee Chen, “iSense3D: A Real-Time Viewpoint-Aware3D Video Synthesis System,” IEEE International Conference on Consumer Electronics (ICCE), Las Vegas, U.S.A, Jan. 2012
  36. Nai-Fu Chang, Tung-Chien Chen, Cheng-Yi Chiang and Liang-Gee Chen, “On-line Empirical Mode Decomposition Biomedical Microprocessor for Hilbert Huang Transform,” in IEEE Biomedical Circuits and Systems Conference (BioCAS), San Diego, California, USA, Nov. 2011
  37. Li-Fang Cheng, Tung-Chien Chen and Liang-Gee Chen, “VLSI Design and Implementation of Density-based Spike Classification for Neuroprosthetic Applications,” Asia Pacific Signal and Information Processing Association Annual Summit and Conference (APSIPA), Xi'an, China, Oct. 2011
  38. Yu-Chi Su, Chia-Jeng Tsai, Keng-Yen Huang, and Liang-Gee Chen, “Intelligent 3D Online Virtual Conferencing System with Natural Human-Computer Interaction,” in IEEE International Conference on Consumer Electronics-Berlin (ICCE-Berlin), Berlin, Germany, Sept. 2011
  39. Yu-Ju Lee, Chuan-Yung Tsai and Liang-Gee Chen, “A Cortex-like Model for Rapid Object Recognition Using Feature-Selective Hashing,” International Joint Conference on Neural Networks 2011 (IJCNN 2011), San Jose, Aug. 2011
  40. C.-Y. Chiang, N.-F. Chang, T.-C. Chen, Hong-Hui Chen, L.-G. Chen, “Seizure Prediction Based on Classification of EEG Synchronization Patterns with On-line Retraining and Post-Processing Scheme,” Proceedings of IEEE Conference of Engineering in Medicine and Biology Society (EMBC 2011), Boston, U.S.A, Aug. 2011
  41. N.-F. Chang, C.-Y. Chiang, T.-C. Chen, L.-G. Chen, “Cubic Spline Interpolation with Overlapped Window and Data Reuse for On-line Hilbert Huang Transform Biomedical Microprocessor,” in Proceedings of IEEE Conference of Engineering in Medicine and Biology Society (EMBC 2011), Boston, U.S.A, Aug. 2011
  42. H.-H. Chen, Y.-H. Chen, T.-C. Chen, L.-G. Chen, “Mobile Energy Expenditure Tracking System Based on Heart Rate and Motion Providing Extra Extensions for Personalized Care,” in Proceedings of IEEE Conference of Engineering in Medicine and Biology Society (EMBC 2011), Boston, U.S.A, Aug. 2011
  43. Y.-H. Chen, H.-H. Chen, T.-C. Chen, L.-G. Chen, “Robust Heart Rate Measurement with Phonocardiogram by On-line Template Extraction and Matching,” in Proceedings of IEEE Conference of Engineering in Medicine and Biology Society (EMBC 2011), Boston, U.S.A, Aug. 2011
  44. Y.-Y. Chen, Y.-M. Tsai, and L.-G. Chen, “Algorithm and Implementation of Multi-channel Spike Sorting using GPU in a Home-care Surveillance System,” IEEE International Conference on Multimedia and Expo (ICME), Barcelona, Spain, Jul. 2011
  45. T.-J. Yang, Y.-M. Tsai, and L.-G. Chen, “Smart Display: A Mobile Self-adaptive Projector-camera System,” IEEE International Conference on Multimedia and Expo (ICME), Barcelona, Spain,, Jul. 2011
  46. Y.-M. Tsai, C.-C. Tsai, K.-Y. Huang, and L.-G. Chen, “Algorithm and Architecture Design of a Knowledge-based Vehicle Tracking for Intelligent Cruise Control,” IEEE International Conference on Multimedia and Expo (ICME), Barcelona, Spain, Jul. 2011
  47. Chien Wu, Chung-Te Li, Yen-Jei Lai, Chao-Chung Cheng and Liang-Gee Chen, “Disparity Remapping by Nonlinear Perceptual Discrimination,” International Conference on 3D systems and Applications (3DSA 2011), Seoul, Korea, Jun. 2011
  48. Yen-Jei Lai, Chung-Te Li, Chien Wu, Chao-Chung Cheng and Liang-Gee Chen, “Trilateral-Filter-Based Depth Interpolation for Occlusion Handling in Stereo Vision,” International Conference on 3D systems and Applications (3DSA 2011), Seoul, Korea, Jun. 2011
  49. Yu-Chi Su, Keng-Yen Huang, Tse-Wei Chen, Yi-Min Tsai, Shao-Yi Chien, and Liang-Gee Chen, “A 52mW Full HD 80-Degree Viewpoint Recognition SoC with Visual Vocabulary Processor for Wearable Vision Applications,” IEEE International Symposium on VLSI Circuits (SOVC 2011), Kyoto, Japan, Jun. 2011
  50. H.-H. Chen, T.-C. Chen, C.-Y. Chiang, and L.-G. Chen, “Power Estimation Scheme for Low Power Oriented Biomedical SoC Extended to Very Deep Submicron Technology,” Proceedings of IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP 2011), Prague, Czech Republic, May 2011
  51. T.-C. Chen, Y.-Y. Chen, T.-C. Ma, and L.-G. Chen, “Design and Implementation of Cubic Spline Interpolation for Spike Sorting Microsystems,” Proceedings of IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP 2011), Prague, Czech Republic, May 2011
  52. Li-Fang Cheng, Tung-Chien Chen, Nai-Fu Chang and Liang-Gee Chen, “Density-based Hardware-oriented Classification for Spike Sorting Microsystems,” IEEE EMBS Conference on Neural Engineering (NER), Cancun, Mexico, Apr. 2011
  53. Pei-Kuei Tsung, Ping-Chih Lin, Kuan-Yu Chen, Tzu-Der Chuang, Hsin-Jung Yang, Shao-Yi Chien, Li-Fu Ding, Wei-Yin Chen, Chih-Chi Cheng, Tung-Chien Chen, Liang-Gee Chen, “A 216fps 4096×2160p 3DTV set-top box SoC for free-viewpoint 3DTV applications,” IEEE International Solid-State Circuits Conference (ISSCC), San. Francisco, CA, USA, Feb. 2011
  54. Y.-M. Tsai, C.-C. Tsai, K.-Y. Huang, and L.-G. Chen, “An Intelligent Vision-Based Vehicle Detection and Tracking System for Automotive Applications,” IEEE International Conference on Consumer Electronics (ICCE), Las Vegas, U.S.A, Jan. 2011
  55. S.-F. Tsai, C.-C. Cheng, C.-T. Li, and L.-G. Chen, “A real-time 1080p 2D-to-3D video conversion system,” IEEE International Conference on Consumer Electronics (ICCE), Las Vegas, U.S.A, Jan. 2011
  56. Chung-Te Li, Yen-Jei Lai, Chien Wu, Chao-Chung Cheng and and Liang-Gee Chen, “A Quality Measurement Based on Object Formation for 3D Contents,” SID 2011 International Symposium, Seminar, and Exhibition (SID), Los Angeles, CA, USA, 2011
  57. C.-Y. Chiang, H.-H. Chen, T.-C. Chen, C.-S. Liu, Y.-J. Huang, S.-S. Lu, C.-W. Lin and L.-G. Chen, “Analysis and design of on-sensor ECG processors for realtime detection of VF, VT, and PVC,” IEEE Workshop on Signal Processing Systems (SIPS), San Francisco, California, U.S.A, Oct. 2010
  58. Y.-M. Tsai, K.-Y. Huang, C.-C. Tsai, and L.-G. Chen, “An Exploration of on-road Vehicle Detection Using Hierarchical Scaling Schemes,” n, “An Exploration of on-road Vehicle Detection Using Hierarchical Scaling Schemes,” IEEE International Conference on Image Pr, Hong Kong, Sept. 2010
  59. K.-Y. Huang, Y.-M. Tsai, C.-C. Tsai, and L.-G. Chen, “Video stabilization for vehicular applications using SURF-like descriptor and KD-tree,” IEEE International Conference on Image Processing (ICIP), pp. 3517-3520., Hong Kong, China, Sept. 2010
  60. C.-C. Cheng, Y.-M. Tsai, L.-G. Chen, and A. P. Chandrakasan, “A 0.077 to 0.168 nJ/bit/iteration Scalable 3GPP LTE Turbo Decoder with an Adaptive Sub-Block Parallel Scheme and an Embedded DVFS Engine,” 2010 IEEE Custom Integrated Circuits Conference (CICC), San Jose, California, Sept. 2010
  61. Y.-M. Tsai, K.-Y. Huang, C.-C. Tsai, and L.-G. Chen, “Learning-based Vehicle Detection Using Up-scaling Schemes and Predictive Frame Pipeline Structures,” IEEE International Conference on Pattern Recognition (ICPR2010), Istanbul, Turkey, Aug. 2010
  62. K.-Y. Chen, P.-K. Tsung, P.-C. Lin, H.-J. Yang, and L.-G. Chen, “Hybrid Motion/Depth-oriednted Inpainting for Virtual View Synthesis in Multiview Applications,” IEEE 3DTV Conference (3DTV-CON), Tampere, Finland, Jun. 2010
  63. K.-Y. Huang, Y.-M. Tsai, C.-C. Tsai, and L.-G. Chen, “Feature-based Video Stabilization for Vehicular Applications,” IEEE International Symposium on Consumer Electronics (ISCE2010), Braunschweig, Germany, Jun. 2010
  64. Y.-C. Su, S.-F. Tsai, T.-D. Chuang, Y.-M. Tsao, and L.-G. Chen, “A Novel Hybrid Pipeline Design Methodology on a Multi-Cores Streaming System for Multimedia Applications,” IEEE International Symposium on Consumer Electronics (ISCE2010), Braunschweig, Germany, Jun. 2010
  65. T.-C. Chen, T.-H. Lee, Y.-H. Chen, T.-C. Ma, T.-D. Chuang, C.-J. Chou, C.-H. Yang, T.-H. Lin, and L.-G. Chen, “1.4μW/channel 16-channel EEG/ECoG Processor for Smart Brain Sensor SoC,” IEEE International Symposium on VLSI Circuits (SOVC 2010), pp. 21-22., Hawaii, USA, Jun. 2010
  66. Pei-Kuei Tsung, Hsin-Jung Yang, Pin-Chih Lin, Kuan-Yu Chen and Liang-Gee Chen, “Low Bandwidth Hybrid Color Compensation for Multiview View Synthesis,” International Conference on 3D systems and Applications (3DSA 2010), Tokyo, Japan, May 2010
  67. Chung-Te Li, Pei-Kuei Tsung, Kuan-Yu Chen, Chao-Chung Cheng, and Liang-Gee Chen, “Three-Dimensional Image Completion For Depth Image Based Rendering,” International Conference on 3D systems and Applications (3DSA 2010), Tokyo, Japan, May 2010
  68. Chung-Te Li, Chao-Chung Cheng, Yen-Jei Lai, and Liang-Gee Chen, “A Real-time Image Enhancement System Using Depth From Edge,” International Conference on 3D systems and Applications (3DSA 2010), Tokyo, Japan, May 2010
  69. Pin-Chih Lin, Pei-Kuei Tsung, Liang-Gee Chen, “Low-Cost Hardware Architecture Design for 3D Warping Engine in Multiview Video,” IEEE International Symposium on Circuits and Systems (ISCAS 2010), Paris, France, May 2010
  70. Pei-Kuei Tsung, Hsin-Jung Yang, Pin-Chih Lin, Kuan-Yu Chen and Liang-Gee Chen, “Hybrid Color Compensation for Virtual View Synthesis in Multiview Video Applications,” IEEE International Symposium on Circuits and Systems (ISCAS 2010), Paris, France, May 2010
  71. Y.-H. Chen, T.-C. Chen, T.-H. Lee, and L.-G. Chen, “Sub-microwatt Correlation Integral Processor for Implantable Closed-loop Epileptic Neuromodulator,” IEEE International Symposium on Circuits and Systems (ISCAS 2010), Paris, France, May 2010
  72. Y.-Y. Chen, T.-C. Chen and L.-G. Chen, “Accuracy and Power Tradeoff in Spike Sorting Microsystems with Cubic Spline Interpolation,” IEEE International Symposium on Circuits and Systems (ISCAS 2010), Paris, France, May 2010
  73. Tzu-Der Chuang, Pei-Kuei Tsung, Pin-Chih Lin, Lo-Mei Chang, Tsung-Chuan Ma, Yi-Hau Chen and Liang-Gee Chen, “Low Bandwidth Decoder Framework for H.264/AVC Scalable Extension,” IEEE International Symposium on Circuits and Systems (ISCAS), Paris, France, May 2010
  74. Chao-Chung Cheng, Chung-Te Li and Liang-Gee Chen, “An Ultra-Low-Cost 2-D/3-D Video-Conversion System,” SID 2010 International Symposium, Seminar, and Exhibition (SID), Seattle, WA, USA, May 2010
  75. Tzu-Der Chuang, Pei-Kuei Tsung, Pin-Chih Lin, Lo-Mei Chang, Tsung-Chuan Ma, Yi-Hau Chen and Liang-Gee Chen, “A 59.5mW Scalable/Multi-view Video Decoder Chip for Quad/3D Full HDTV and Video Streaming Applications,” IEEE International Solid-State Circuits Conference (ISSCC), San. Francisco, CA, USA, Feb. 2010
  76. T.-W. Chen, Y.-L. Chen, T.-Y. Cheng, C.-S. Tang, P.-K. Tsung, T.-D. Chuang, L.-G. Chen and S.-Y. Chien, “A Multimedia Semantic Analysis SoC (SASoC) with Machine-Learning Engine,” IEEE International Solid-State Circuits Conference (ISSCC), San. Francisco, CA, USA, Feb. 2010
  77. Y.-H. Chen, T.-C. Chen, T.-C. Ma, T.-H. Lee, and L.-G. Chen, “Sub-microwatt KNN Classifier for Implantable Closed-loop Epileptic Neuromodulation System,” International Symposium on Bioelectronics and Bioinformatics (ISBB), Melbourne, Australia, Dec. 2009
  78. Chen-Han Chung, Yu-Chieh Kao, Fu-Shan Jaw and Liang-Gee Chen, “Optimal Transform of Multichannel Evoked Neural Signals Using a Video Compression Algorithm,” The 3rd International Conference on Bioinformatics and Biomedical Engineering (iCBBE 2009), Beijing, China, Jun. 2009
  79. Pei-Kuei Tsung, Wei-Yin Chen, Li-Fu Ding, Chuan-Yung Tsai, Tzu-Der Chuang and Liang-Gee Chen, “SINGLE-ITERATION FULL-SEARCH FRACTIONAL MOTION ESTIMATION FOR QUAD FULL HD H.264/AVC ENCODING,” IEEE International Conference on Multimedia & Expo (ICME), New York, USA, Jun. 2009
  80. L.-F. Ding, W.-Y. Chen, P.-K. Tsung, T.-D. Chuang, P.-H. Hsiao, Y.-H. Chen, S.-Y. Chien, and L.-G. Chen, “A 212MPixels/s 4096x2160p Multiview Video Encoder Chip for 3D/Quad HDTV Applications,” 46th ACM/IEEE Design Automation Conference (DAC), California, USA, Jun. 2009
  81. Pei-Kuei Tsung, Pin-Chih Lin, Li-Fu Ding, Shao-Yi Chien,and Liang-Gee Chen, “SINGLE ITERATION VIEW INTERPOLATION FOR MULTIVIEW VIDEO APPLICATIONS,” IEEE 3DTV Conference (3DTV-CON), Potsdam, Germany, May 2009
  82. Y.-C. Su, S.-F. Tsai, T.-D. Chuang, Y.-M. Tsao, and L.-G. Chen, “Mapping Scalable Video Coding Decoder on Multi-Core StreamProcessors,” Picture Coding Symposium (PCS), Chicago, IL, USA, May 2009
  83. Shao-Han Tang, Chuan-Yung Tsai, Yu-Han Chen, and Liang-Gee Chen, “Intelligent Image Inpainting based on a Brain-Mimicking Recognition-Mining-Synthesis Network,” IEEE International Symposium on Consumer Electronics (ISCE), Kyoto, Japan, May 2009
  84. T.-C. Chen, K. Chen, W. Liu, and L.-G. Chen, “On-Chip Principal Component Analysis with a Mean Pre-Estimation Method for Spike Sorting,” IEEE International Symposium on Circuits and Systems (ISCAS), Taipei, Taiwan, May 2009
  85. T.-C. Chen, W. Liu, and L.-G. Chen, “128-Channel Spike Sorting Processor with a Parallel-Folding Structure in 90nm Process,” IEEE International Symposium on Circuits and Systems (ISCAS), Taipei, Taiwan, May 2009
  86. P.-C. Lin, T.-D. Chuang and L.-G. Chen, “A Branch Selection Multi-Symbol High Throughput CABAC Decoder Architecture for H.264/AVC,” IEEE International Symposium on Circuits and Systems (ISCAS), Taipei, Taiwan, May 2009
  87. C.-C. Cheng, C.-T. Li, Y.-M. Tsai, and L.-G. Chen, “A Quality-Scalable Depth-Aware Video Processing System,” SID 2009 International Symposium, Seminar, and Exhibition (SID), San Antonio TX, USA, May 2009
  88. Chung-Te Li, Chao-Chung Cheng, Yi-Min Tsai and Liang-Gee Chen, “Depth-Aware Achromatic Image/Video Enhancement,,” International Conference on 3D systems and Applications (3DSA), Taipei, Taiwan, Apr. 2009
  89. Chen-Han Chung, Yu-Chieh Kao, Fu-Shan Jaw and Liang-Gee Chen, “Multichannel Evoked Neural Signal Compression Using Advanced Video Compression Algorithm,” IEEE EMBS Conference on Neural Engineering, Antalya, Turkey, Apr. 2009
  90. Tzu-Der Chuang, Lo-Mei Chang, Tsai-Wei Chiu,Yi-Hau Chen, and Liang-Gee Chen, “Bandwidth-Efficient cache-basedmotion compensation architecture with DRAM-friendly data access control,” IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP), Taipei, Taiwan, Apr. 2009
  91. Pei-Kuei Tsung, Wei-Yin Chen, Li-Fu Ding, Shao-Yi Chien, and Liang-Gee Chen, “CACHE-BASED INTEGER MOTION/DISPARITY ESTIMATION FOR QUAD-HD H.264/AVC AND HD MULTIVIEW VIDEO CODING,” IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP), Taipei, Taiwan, Apr. 2009
  92. L.-F. Ding, W.-Y. Chen, P.-K. Tsung, T.-D. Chuang, P.-H. Hsiao, Y.-H. Chen, S.-Y. Chien, and L.-G. Chen, “A 212MPixels/s 4096x2160p Multiview Video Encoder Chip for 3D/Quad HDTV Applications,” IEEE International Solid-State Circuits Conference (ISSCC), San. Francisco, CA, USA, Feb. 2009
  93. C.-C. Cheng, C.-T. Li, P.-S. Huang, T.-K. Lin, Y.-M. Tsai, and L.-G. Chen, “A Block-based 2D-to-3D Conversion System with Bilateral Filter,” International Conference on Consumer Electronics (ICCE), Las Vegas, NV, USA, Jan. 2009
  94. Y.-L. Chang, Y.-M. Tsai, and L.-G. Chen, “A Real-time Augmented View Synthesis System for Transparent Car Pillars,” IEEE International Conference on Image Processing (ICIP), San Diego USA, Oct. 2008
  95. C.-Y. Chien, S.-C. Huang, S.-H. Lin, Y.-C. Huang, Y.-C. Chen, L.-C. Chou, T.-D. Chuang, Y.-W. Chang, C.-H. Pan, and L.-G. Chen, “A 100 MHZ 1920x1080 hd-photo 20 frames/sec JPEG XR encoder design,” International Conference on Image Processing (ICIP), California, USA, Oct. 2008
  96. C.-C. Cheng, C.-K. Liang, Y.-C. Lai, H. H. Chen, and L.-G. Chen, “Analysis of Belief Propagation for Hardware Realization,” IEEE Workshop on Signal Processing Systems (SiPS), pp. 153-157., Washington DC, USA, Oct. 2008
  97. Chi-Wei Lin, Yu-Han Chen, and Liang-Gee Chen, “Bio-inspired unified model of visual segmentation system for CAPTCHA character recognition,” IEEE Workshop on Signal Processing Systems (SiPS), pp. 158-163, Washington DC, USA, Oct. 2008
  98. H.-H. Liao, J.-Y. Chang, and L.-G. Chen, “A Localized Approach to Abandoned Luggage Detection with Foreground-Mask Sampling,” IEEE International Conference on Advanced Video and Signal Based Surveillance, pp. 132-139, New Mexico, USA, Sept. 2008
  99. T.-C. Chen, W. Liu, and L.-G. Chen, “VLSI Architecture of Leading Eigenvector Generation for On-chip Principal Component Analysis Spike Sorting System,” IEEE Conference of Engineering in Medicine and Biology Society, Vancouver, Canada, Aug. 2008
  100. T.-C. Chen, Z. Yang, W. Liu, and L.-G. Chen, “NEUSORT2.0: A Multiple-channel Neural Signal Processor with Systolic Array Buffer and Channel-interleaving Processing Schedule,” IEEE Conference of Engineering in Medicine and Biology Society, Vancouver, Canada, Aug. 2008
  101. C.-C. Cheng, C.-H. Lin, C.-T. Li, S. C. Chang, and L.-G. Chen, “iVisual: An Intelligent Visual Sensor SoC with 2790fps CMOS Image Sensor and 205GOPS/W Vision Processor,” 45th ACM/IEEE Design Automation Conference (DAC), California, USA, Jun. 2008
  102. C.-H. Pan, S.-C. Huang, I.-H. Lee, C.-J. Lian, and L.-G. Chen, “Scalable video adaptation optimization using soft decision scheme,” IEEE International Conference on Multimedia and Expo (ICME), pp. 469-472, Hannover, Germany, Jun. 2008
  103. Y.-H. Chen, T.-D. Chuang, Y.-J. Chen, C.-T. Li, C.-J. Hsu, S.-Y. Chien, and L.-G. Chen, “An H.264/AVC Scalable Extension and High Profile HDTV 1080p Encoder Chip,” Symposium on VLSI Circuits (SOVC), Jun. 2008
  104. Y.-M. Tsao, C.-H. Sun, Y.-C. Lin, K.-H. Lok, C.-J. Hsu, S.-Y. Chien, and L.-G. Chen, “A 26mW 6.4GFLOPS Multi-Core Stream Processor for Mobile Multimedia Applications,” Symposium on VLSI Circuits (SOVC), Hawaii, USA, Jun. 2008
  105. P.-K. Tsung, C.-Y. Lin, W.-Y. Chen, L.-F. Ding, and L.-G. Chen, “Multiview Video Hybrid Coding System with Texture-Depth Synthesis,” IEEE International Conference on Multimedia & Expo (ICME), Hannover Germany, Jun. 2008
  106. Y.-H. Chen, T.-D. Chuang, Y.-H. Chen, C.-H. Tsai, and L.-G. Chen, “Frame-Parallel Design Strategy for High Definition B-Frame H.264/AVC Encoder,” IEEE International Symposium on Circuits and Systems (ISCAS), pp. 29-32, Seattle, WA, USA, May 2008
  107. J.-Y. Chang, T.-H. Wang, S.-Y. Chien, and L.-G. Chen, “Spatial-Temporal Consistent Labeling for Multi-Camera Multi-Object Surveillance System,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2008
  108. Y.-L. Chang, W.-Y. Chen, J.-Y. Chang, Y.-M. Tsai, C.-L. Lee, and L.-G. Chen, “Priority depth fusion for the 2D to 3D conversion system,” Three-Dimensional Image Capture and Applications, pp. 680513-8., San Jose, CA, USA, Jan. 2008
  109. C.-C. Cheng, C.-H. Lin, C.-T. Li, S. Chang, C.-J. Hsu, and L.-G. Chen, “iVisual: An Intelligent Visual Sensor SoC with 2790fps CMOS Image Sensor and 205GOPS/W Vision Processor,” IEEE International Solid-State Circuits Conference (ISSCC), Jan. 2008
  110. C.-H. Pan, S.-C. Huang, Y.-L. Chang, C.-J. Lian, and L.-G. Chen, “Real-Time Free Viewpoint Rendering System for Face-to-face Video Conference,” IEEE International Conference on Consumer Electronics (ICCE), pp. 1-2, Las Vegas, USA, Jan. 2008
  111. Y.-H. Chen, T.-D. Chuang, Y.-J. Chen, L.-G. Chen, “Bandwidth-efficient Encoder Framework for H.264/AVC Scalable Extension,” in the Proceeding of IEEE International Symposium on Multimedia 2007, Dec. 2007
  112. Y.-H. Chen, T.-D. Chuang, C.-Y. Tsai, Y.-J. Chen, L.-G. Che, “A Cost-Efficient Residual Prediction VLSI Architecture for H.264/AVC Scalable Extension,” in the Proceeding of Picture Coding Symposium 2007 (PCS 2007), Nov. 2007
  113. T.-D. Chuang, Y.-H. Chen, C.-H. Tsai, Y.-J. Chen, L.-G. Chen, “Algorithm and Architecture Design for Intra Prediction in H.264/AVC High Profile,” in the Proceeding of Picture Coding Symposium 2007 (PCS 2007), Nov. 2007
  114. Y.-J. Chen, Y.-H. Chen, T.-D. Chuang, C.-T. Li, S.-Y. Chien, L.-G. Chen, “Architecture Design of Fine Grain SNR Scalable Encoder with CABAC for H.264/AVC Scalable Extension,” in the Proceeding of 2007 IEEE International Workshop on Signal Processing Systems (SiPS 2007), page(s): 515-520, Oct. 2007
  115. 246. Tung-Chien Chen, Yu-Han Chen, Chuan-Yung Tsai, Sung-Fang Tsai, Shao-Yi Chien and Liang-Gee Chen, “2.8 to 67.2mW Low-Power and Power-Aware H.264 Encoder for Mobile Applications,” Symposium on VLSI Circuits, Jun. 2007
  116. 247. You-Ming Tsao, Chin-Hsiang Chang, Yu-Cheng Lin, Shao-Yi Chien, and Liang-Gee Chen, “An 8.6mW 12.5Mvertices/s 800MOPS 8.91mm2 Stream Processor Core for Mobile Graphics and Video Applications,” Symposium on VLSI Circuits, Jun. 2007
  117. Tsung, P.K. and Ding, L.F. and Chen, W.Y. and Chien, S.Y. and Chen, T.C. and Chen, L.G., “System Bandwidth Analysis of Multiview Video Coding with Precedence Constraint,” IEEE International Symposium on Circuits and Systems, 2007 (ISCAS 2007), pp. 1001-1004, May 2007
  118. Li-Fu Ding, Pei-Kuei Tsung, Shao-Yi Chien,Wei-Yin Chen, and Liang-Gee Chen, “Computation-Free Motion Estimation With Inter-View Mode Decision For Multiview Video Coding,” 3DTV-Conference, pp. 1-4, May 2007
  119. Tsai, C.Y., Chung, C.H., Chen, Y.H., Chen, T.C., and Chen, L.G., “Low Power Cache Algorithm and Architecture Design for Fast Motion Estimation in H.264/AVC Encoder System,” IEEE International Conference on Acoustics, Speech and Signal Processing 2007 (ICASSP 2007), Volume: 2, pp. II-97-II, Apr. 2007
  120. Chen, Y.J. and Tsai, C.H. and Chen, L.G., “Novel Configurable Architecture of ML-Decomposed Binary Arithmetic Encoder for Multimedia Applications,” International Symposium on VLSI Design, Automation and Test 2007 (VLSI-DAT 2007), pp. 1-4, Apr. 2007
  121. Chen, W.Y. and Ding, L.F. and Chen, L.G, “Fast luminance and chrominance correction based on motion compensated linear regression for multi-view video coding,” SPIE 19th Annual Symposium on Electronics Imaging, Visual Communications and Image Processing 2007 (VCIP 2007), Proc. SPIE 6508, 650823, Feb. 2007
  122. Chen, Y.H. and Lin, C.H. and Chen, C.Y. and Chen, L.G, “Fast prediction algorithm of adaptive GOP structure for SVC,” SPIE 19th Annual Symposium on Electronics Imaging, Visual Communications and Image Processing 2007 (VCIP 2007), Proc, SPIE , Volume 6508, Feb. 2007
  123. Tsai, Y.M. and Chang, Y.L. and Chen, L.G., “Symmetric trinocular dense disparity estimation for car surrounding camera array,” SPIE 19th Annual Symposium on Electronics Imaging, Visual Communications and Image Processing 2007 (VCIP 2007), Proc, SPIE , Volume 6508, Feb. 2007
  124. Yi-Min Tsai, Yu-Lin Chang, and Liang-Gee Chen, “Block-based Vanishing Line and Vanishing Point Detection for 3D Scene Reconstruction,” International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS 2006), pp. 586-589, Dec. 2006
  125. Y.-W. Chang, C.-C. Cheng, C.-C. Cheng, H.-C. Fang, and L.-G. Chen, “Design and Implementation of JPEG 2000 Codec with Bit-Plane Scalable Architecture,” IEEE Workshop on Signal Processing Systems (SiPS 2006), pp. 432~437, Banff, Canada, Oct. 2006
  126. Yi-Hau Chen, Ching-Yeh Chen, Chih-Chi Cheng, and Liang-Gee Chen, “Scalable Rate-Distortion-Computation Hardware Accelerator for MCTF and ME,” IEEE International Conference on Multimedia and Expo (ICME), Page(s): 365 – 368, Jul. 2006
  127. Jing-Ying Chang, Chao-Chung Cheng, Shao-Yi Chien, and Liang-Gee Chen, “Relative Depth Layer Extraction for Monoscopic Video by Use of Multidimensional Filter,” IEEE International Conference on Multimedia and Expo (ICME), Page(s): 221 – 224, Jul. 2006
  128. Yu-Han Chen, Tung-Chien Chen, and Liang-Gee Chen, “Power-Scalable Algorithm and Reconfigurable Macro-Block Pipelining Architecture of H.264 Encoder for Mobile Application,” IEEE International Conference on Multimedia and Expo (ICME), Page(s): 281 – 284, Jul. 2006
  129. Chuan-Yung Tsai, Tung-Chien Chen, and Liang-Gee Chen, “Low Power Entropy Coding Hardware Design for H.264/AVC Baseline Profile Encoder,” IEEE International Conference on Multimedia and Expo (ICME), Page(s): 1941 – 1944, Jul. 2006
  130. Wan-Yu Chen, Yu-Lin Chang, Hsu-Kuang Chiu, Shao-Yi Chien, and Liang-Gee Chen, “Real-Time Depth Image based Rendering Hardware Accelerator for Advanced Three Dimensional Television System,” IEEE International Conference on Multimedia and Expo (ICME), Page(s): 2069 - 2072, Jul. 2006
  131. Yu-Wei Chang, Hung-Chi Fang, Chun-Chia Chen, and Liang-Gee Chen, “Design and Implementation Of Word-Level Embedded Block Coding Architecture in JPEG 2000 Decoder,” IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Page(s): II-449 - II-452, May 2006
  132. Tung-Chien Chen, Yu-Han Chen, Sung-Fang Tsai, and Liang-Gee Chen, “Architecture Design of Low Power Integer Motion Estimation for H. 264/AVC,” IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Page(s): III-900 - III-903, May 2006
  133. Chih-Chi Cheng, Chao-Tsung Huang, Jing-Ying Chang, and Liang-Gee Chen, “Line Buffer Wordlength Analysis for Line-Based 2-D DWT,” IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Page(s): III-924 - III-927, May 2006
  134. Chun-Chia Chen, Yu-Wei Chang, Hung-Chi Fang, and Liang-Gee Chen, “Analysis of scalable architecture for the embedded block coding in JPEG 2000,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2006
  135. Tung-Chien Chen, Yu-Han Chen, Chuan-Yung Tsai, and Liang-Gee Chen, “Low power and power aware fractional motion estimation of H.264/AVC for mobile applications,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2006
  136. Chih-Chi Cheng, Ching-Yeh Chen, Yi-Hau Chen, and Liang-Gee Chen, “Analysis and VLSI architecture of update step in motion-compensated temporal filtering,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2006
  137. Ching-Yeh Chen, Yi-Hau Chen, Chih-Chi Cheng, and Liang-Gee Chen, “Frame-level data reuse for motion-compensated temporal filtering,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2006
  138. Yu-Jen Chen, Chen-Han Tsai, and Liang-Gee Chen, “Architecture design of area-efficient SRAM-based multi-symbol arithmetic encoder in H.264/AVC,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2006
  139. Chi-Sun Tang, Chen-Han Tsai, Shao-Yi Chien, and Liang-Gee Chen, “Algorithm and hardware architecture design for weighted prediction in H.264/MPEG-4 AVC,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2006
  140. You-Ming Tsao, Chi-Ling Wu, Shao-Yi Chien, and Liang-Gee Chen, “Adaptive tile depth filter for the depth buffer bandwidth minimization in the low power graphics systems,” IEEE International Symposium on Circuits and Systems (ISCAS), May 2006
  141. You-Ming Tsao, Shao-Yi Chien, Chin-Hsiang Chang, Chung-Jr Lian, and Liang-Gee Chen, “Low power programmable shader with efficient graphics and video acceleration capabilities for mobile multimedia applications,” International Conference on Consumer Electronics (ICCE), Page(s): 395 – 396, Jan. 2006
  142. Tung-Chien Chen, Chung-Jr Lian, and Liang-Gee Chen, “Hardware architecture design of an H.264/AVC video codec,” Asia and South Pacific Conference on Design Automation, Page(s): 8 pp., Jan. 2006
  143. Yu-Han Chen, Tung-Chien Chen, Chuan-Yung Tsai, Sung-Fang Tsai, and Liang-Gee, “Algorithm and Architecture Co-Design of Low-Power H.264 Baseline Profile,” IEEE International Picture Coding Symposium (PCS2006), Beijing, China, 2006
  144. Y.-W. Chang, H.-C. Fang, C.-C. Cheng, C.-C. Chen, C.-J. Lian, S.-Y. Chien, and L.-G. Chen, “124 MSamples/s Pixel-Pipelined Motion-JPEG 2000 Codec without Tile Memory,” 2006 Internal Solid-State Circuits Conference (ISSCC2006), 2006
  145. C-P. Lin, P-C. Tseng, Y-T. Chiu, S-S. Lin, C-C. Cheng, H-C. Fang, W-M. Chao, and L-G. Chen, “A 5mW MPEG4 SP Encoder with 2D Bandwidth-Sharing Motion Estimation for Mobile Applications,” 2006 Internal Solid-State Circuits Conference (ISSCC2006), 2006
  146. C.-H. Tsai, Y.-J. Chen, and L.-G. Chen, “Analysis and Architecture Design for Multi-Symbol Arithmetic Encoder in H.264/AVC,” Proceedings of 2005 SOC Design Conference, Seoul, Korea, Oct. 2005
  147. T.-D. Chuang, Y.-H. Chen, C.-H. Tsai, and L.-G. Chen, “Analysis and Architecture Design for Multi-transform for H.264/AVC High Profile,” Proceedings of 2005 SOC Design Conference, Seoul, Korea, Oct. 2005
  148. Y.-W. Huang, C.-L. Lee, C.-Y. Chen, and L.-G. Chen, “Computation-Aware Motion Estimation with Adaptive Search Strategy,” Proceedings of 2005 IEEE International Symposium on Circuits and Systems (ISCAS 2005), Kobe, Japan, May 2005
  149. Y.-H. Chen, C.-Y. Chen, and L.-G. Chen, “Architecture of Global Motion Compensation for MPEG-4 Advanced Simple Profile,” Proceedings of 2005 IEEE International Symposium on Circuits and Systems, Kobe, Japan, May 2005
  150. S.-W. Huang, T.-H. Tsai, and L.-G. Chen, “Memory and Computationally Efficient Psychoacoustic Model for MPEG AAC on 16-bit Fixed-point Processors,” Proceedings of 2005 International Symposium on Circuits and Systems (ISCAS 2005), Kobe, Japan, May 2005
  151. Chih-Chi Cheng, Chao-Tsung Huang, Po-Chih Tseng, Chia-Ho Pan, and Liang-Gee Chen, “Multiple-lifting Scheme: Memory-efficient VLSI Implementation for Line-based 2-D DWT,” Proceedings of 2005 International Symposium on Circuits and Systems (ISCAS 2005), Kobe, Japan, May 2005
  152. L.-F. Ding, S.-Y. Chien, Y.-W. Huang, Y.-L. Chang, and L.-G. Chen, “Stereo Video Coding System with Hybrid Coding Based on Joint Prediction Scheme,” Proceedings of 2005 International Symposium on Circuits and Systems (ISCAS 2005), Kobe, Japan, May 2005
  153. Tung-Chien Chen, Yu-Wen Huang, Chuan-Yung Tsai, Chao-Tsung Huang, and Liang-Gee Chen, “Single reference frame multiple current macroblocks scheme for multi-frame motion estimation in H.264/AVC,” Proceedings of 2005 International Symposium on Circuits and Systems (ISCAS 2005), Kobe, Japan, May 2005
  154. T.-W. Chen, Y.-W. Huang, T.-C. Chen, Y.-H. Chen, C.-Y. Tsai, L.-G. Chen, “Architecture Design of H.264/AVC Decoder with Hybrid Task Pipelining for High Definition Videos,” Proceedings of 2005 International Symposium on Circuits and Systems (ISCAS 2005), Kobe, Japan, May 2005
  155. S.-W. Huang, T.-H. Tsai, and L.-G. Chen, “Fast Filterbanks for the Low Power MPEG High Efficiency Advanced Audio Coding Decoder,” Audio Engineering Society (AES) 118th, Barcelona, Spain, May 2005
  156. C.-T. Huang, C.-Y. Chen, Y.-H. Chen, and L.-G. Chen, “Memory Analysis of VLSI Architecture for 5/3 and 1/3 Motion-Compensated Temporal Filtering,” Proceedings of 2005 IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP 2005), Philadelphia, USA, Mar. 2005
  157. H.-C. Fang, Y.-W. Chang, C.-C. Cheng, C.-C. Chen, and L.-G. Chen, “MEMORY EFFICIENT JPEG 2000 ARCHITECTURE WITH STRIPE PIPELINE SCHEME,” Proceedings of 2005 IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP 2005), Philadelphia, PA, USA, Mar. 2005
  158. Y.-L. Chang, S.-F. Lin, and L.-G. Chen, “Four Field Variable Block Size Motion Compensated Adaptive De-interlacing,” Proceedings of 2005 IEEE International Conference on Acoustics, Speech, and Signal Processing(ICASSP 2005), Philadelphia, PA, USA, Mar. 2005
  159. Y.-W. Huang, T.-C. Chen, C.-H. Tsai, C.-Y. Chen, T.-W. Chen, C.-S. Chen, C.-F. Shen, S.-Y. Ma, T.-C. Wang, B.-Y. Hsieh, H.-C. Fang, and L.-G. Chen, “A 1.3TOPS H.264/AVC single-chip encoder for HDTV applications,” 2005 IEEE International Solid-State Circuits Conference (ISSCC 2005), San Francisco, United States, Feb. 2005
  160. Tung-Chien Chen, Yu-Wen Huang, Chuan-Yung Tsai, and Liang-Gee Chen, “Dual-block-pipelined VLSI architecture of entropy coding for H.264/AVC baseline profile,” Proceedings of 2005 IEEE International Symposium on VLSI Technology, Systems, and Applications, Hsinchu, Taiwan, 2005
  161. W.-Y. Chen, Y.-L. Chang, S.-F. Lin, L.-F. Ding and L.-G. Chen, “EFFICIENT DEPTH IMAGE BASED RENDERING WITH EDGE DEPENDENT DEPTH FILTER AND INTERPOLATION,” Proceedings of 2005 IEEE International Conference on Multimedia and Expo (ICME 2005), 2005
  162. C.-P. Lin, P-C. Tseng, and L.-G. Chen, “EARLY LOSSLESS CONTENT-DEPENDENT LOW-POWER DCT DESIGN FOR MOBILE VIDEO APPLICATIONS,” 2005 IEEE International Conference on Multimedia and Expo (ICME 2005), 2005
  163. L.-F. Ding, S.-Y. Chien, and L.-G. Chen, “Algorithm and Architecture of Prediction Core in Stereo Video Hybrid Coding System,” Proceedings of 2005 IEEE Workshop on Signal Processing Systems (SiPS 2005), 2005
  164. C.-C. Cheng, P.-C. Tseng, C.-T. Huang, and L.-G. Chen, “Multi-Mode Embedded Compression Codec Engine,” Proceedings of 2005 IEEE Workshop on Signal Processing Systems (SiPS 2005), 2005
  165. C.-H. Tsai, Y.-W. Huang, and L.-G. Chen, “Algorithm and Architecture Optimization for Full-mode Encoding of H.264/AVC Intra Prediction,” Proceedings of 2005 International Midwest Symposium on Circuit and Systems (MWSCAS 2005), 2005
  166. C.-C. Chen, Y.-W. Chang, H.-C. Fang, and L.-G. Chen, “ANALYSIS AND ARCHITECTURE FOR MEMORY EFFICIENT JBIG2 ARITHMETIC ENCODER,” Proceedings of 2005 International Midwest Symposium on Circuit and Systems (MWSCAS 2005), 2005
  167. Chuan-Yung Tsai, Tung-Chien Chen, To-Wei Chen, and Liang-Gee Chen, “Bandwidth Optimized Motion Compensation Hardware Design for H.264/AVC HDTV Decoder,” Proceedings of 2005 International Midwest Symposium on Circuit and Systems (MWSCAS 2005), 2005
  168. C.-Y. Chen, C.-T. Huang, Y.-H. Chen, C.-J. Lian, and L.-G. Chen, “SYSTEM ANALYSIS OF VLSI ARCHITECTURE FOR MOTION-COMPENSATED TEMPORAL FILTERING,” Proceedings of 2005 IEEE International Conference on Image Processing (ICIP 2005), 2005
  169. Tung-Chien Chen, Ke-Chung Wu, Yu-Han Chen, and Liang-Gee Chen, “Hybrid-mode Embedded Compression for H.264/AVC Coding System,” Proceedings of the Intelligent Signal Processing and Communication Systems, 2005
  170. Yu-Han Chen, Tung-Chien Chen, and Liang-Gee Chen, “Hardware Oriented Content-adaptive Fast Algorithm for Variable Block-size Integer Motion Estimation in H.264,” Proceedings of the Intelligent Signal Processing and Communication Systems, 2005
  171. C.-H. Pan, I-H. Lee, S.-C. Huang, C.-C. Cheng, C.-J. Lian, L.-G. Chen, “Application Layer Header Correction Scheme for Video Header Protection on Wireless Network,” Proceedings of 2005 IEEE Int'l Symposium on Multimedia, 2005
  172. J.-Y Chang, C.-J. Lian, H.-C. Fang, and L.-G. Chen, “Architecture and Analysis of Color Structure Descriptor for Real-Time Video Indexing and Retrieval,” in Proceedings of 2004 Pacific-Rim Conference on Multimedia (PCM 2004), Tokyo, Japan, Dec. 2004
  173. J.-Y Chang, H.-C. Fang, Y.-W, Huang, and L.-G. Chen, “Architecture of MPEG-7 Color Structure Description Generator for Real-time Video Applications,” in Proceedings of 2004 IEEE International Conference on Image Processing (ICIP 2004), Singapore, Oct. 2004
  174. Pei-Jun Lee, Homer Chen, and Liang-Gee Chen, “A New Error Concealment algorithm for H.264 Video Transmission,” International Symposium on Intelligent Multimedia, Video & Speech Processing, Hong-Kong, Oct. 2004
  175. J.-Y Chang, C.-J. Lian, and L.-G. Chen, “Architecture and Analysis of Color Structure and Scalable Color Descriptor for Real-Time Video Indexing and Retrieval,” in Proceedings of 2004 IEEE International Symposium on Consumer Electronics (ISCE 2004), Reading, United Kingdom,, Sept. 2004
  176. Y.-W. Chang, H.-C. Fang, and L.-G. Chen, “High Performance Two-Symbol Arithmetic Encoder in JPEG 2000,” in Proceedings of 2004 IEEE International Symposium on Consumer Electronics (ISCE 2004), Reading, United Kingdom,, Sept. 2004
  177. Y.-W. Huang, B.-Y. Hsieh, T.-C. Chen, and L.-G. Chen, “Hardware architecture design for H.264/AVC intra frame coder,” in Proceedings of 2004 IEEE International Symposium on Circuits and Systems (ISCAS 2004), Vancouver, Canada, May 2004
  178. T.-C Chen, Y.-W. Huang, and L.-G. Chen, “Analysis and design of macroblock pipelining for H.264/AVC VLSI architecture,” in Proceedings of 2004 IEEE International Symposium on Circuits and Systems (ISCAS 2004), Vancouver, Canada, May 2004
  179. C.-Y. Chen, S.-Y. Chien, W.-M. Chao, Y.-W. Huang, and L.-G. Chen, “Hardware architecture for global motion estimation for MPEG-4 advanced simple profile,” in Proceedings of 2004 IEEE International Symposium on Circuits and Systems (ISCAS 2004), Vancouver, Canada, May 2004
  180. P.-C. Tseng, C.-T. Huang, and L.-G. Chen, “Reconfigurable discrete cosine transform processor for object-based video signal processing,” in Proceedings of 2004 IEEE International Symposium on Circuits and Systems (ISCAS 2004), Vancouver, Canada, May 2004
  181. C.-T. Huang, P.-C. Tseng, and L.-G. Chen, “B-spline factorization-based architecture for inverse discrete wavelet transform,” in Proceedings of 2004 IEEE International Symposium on Circuits and Systems (ISCAS 2004), Vancouver, Canada, May 2004
  182. S.-S. Lin, P.-C. Tseng, and L.-G. Chen, “Low-power parallel tree architecture for full-search block-matching motion estimation,” in Proceedings of 2004 IEEE International Symposium on Circuits and Systems (ISCAS 2004), Vancouver, Canada, May 2004
  183. Y.-L. Chang, S.-F. Lin, and L.-G. Chen, “Extended intelligent edge-based line average with its implementation and test method,” in Proceedings of 2004 IEEE International Symposium on Circuits and Systems (ISCAS 2004), Vancouver, Canada, May 2004
  184. Y.-W. Huang, C.-H. Tsai, and L.-G. Chen, “Parallel global elimination algorithm and architecture design for fast block matching motion estimation,” in Proceedings of 2004 IEEE International Conference on Acoustics, Speech, and Signal Processing, Montreal, Quebec, Canada, May 2004
  185. T.-C Chen, Y.-W. Huang, and L.-G. Chen, “Fully utilized and reusable architecture for fractional motion estimation of H.264/AVC,” in Proceedings of 2004 IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP 2004), Montreal, Quebec, Canada, May 2004
  186. C.-T Huang, P.-C. Tseng, and L.-G. Chen, “Memory analysis and architecture for two-dimensional discrete wavelet transform,” in Proceedings of 2004 IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP 2004), Montreal, Quebec, Canada, May 2004
  187. Y.-L Chang, P.-H. Wu, S.-F. Lin, and L.-G. Chen, “Four field local motion compensated de-interlacing,” in Proceedings of 2004 IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP 2004), Montreal, Quebec, Canada, May 2004
  188. H.-C. Fang, C.-T. Huang, Y.-W. Chang, T.-C. Wang, P.-C. Tseng, C.-J Lian, and L.-G. Chen, “81MS/s JPEG 2000 single-chip encoder with rate-distortion optimization,” in Proceedings of 2004 IEEE International Solid-State Circuits Conference (ISSCC 2004), San Francisco, California, USA, Feb. 2004
  189. Y.-W. Chang, H.-C. Fang, C.-J. Lian, and L.-G. Chen, “Novel pre-compression rate-distortion optimization algorithm for JPEG 2000,” in Proceedings of 2004 SPIE International Conference on Visual Communications and Image Processing (VCIP 2004), San Jose, California, USA, Jan. 2004
  190. S.-S. Lin, P.-C. Tseng, and L.-G. Chen, “Low-power parallel tree architecture for full-search block-matching motion estimation,” in Proceedings of 2004 SOC Design Conference, Seoul, Korea, 2004
  191. C. -Y. Chen, S. -Y Chien, W. M. Chao, Y. -W. Huang, and L. -G. Chen, “Analysis of global motion estimation and compensation in MPEG-4 advanced simple profile,” in Proceedings of Workshop on Consumer Electronics, Dec. 2003
  192. C. -T. Huang, P. -C. Tseng, and L. -G. Chen, “Hardware implementation of shape-adaptive discrete wavelet transform with the JPEG2000 defaulted (9,7) filter bank,” in Proceedings of 2003 IEEE International Conference on Image Processing (ICIP2003), Barcelona, Spain, Sept. 2003
  193. Y. -L. Chang, C. -Y. Chen, S. -F. Lin, and L. -G. Chen, “Motion compensated de-interlacing with adaptive global motion estimation and compensation,” in Proceedings of 2003 IEEE International Conference on Image Processing (ICIP2003), Barcelona, Spain, Sept. 2003
  194. S. -Y. Chien, S. -H. Yu, L. -F. Ding, Y. -N. Huang, and L. -G. Chen, “Efficient stereo video coding system for immersive teleconference with two-stage hybrid disparity estimation algorithm,” in Proceedings of 2003 IEEE International Conference on Image Processing (ICIP2003), Barcelona, Spain, Sept. 2003
  195. B. -Y. Hsieh, Y. -W. Huang, S. -Y. Chien, S. -Y. Ma, and L. -G. Chen, “Fast algorithm for intra prediction mode decision in MPEG-4 AVC/JVT/H.264,” in Proceedings of 2003 Symposium on Digital Life and Internet Technologies, Sept. 2003
  196. P. -C. Tseng, C. -T. Huang, and L. -G. Chen, “Reconfigurable discrete wavelet transform architecture for advanced multimedia systems,” in Proceedings of 2003 IEEE Workshop on Signal Processing Systems (SiPS 2003), Seoul, Korea, Aug. 2003
  197. P. -C. Tseng and L. -G. Chen, “Perspectives of multimedia SoC,” in Proceedings of 2003 IEEE Workshop on Signal Processing Systems (SiPS 2003), Seoul, Korea, Aug. 2003
  198. C. -T. Huang, P. -C. Tseng, and L. -G. Chen, “VLSI architecture for discrete wavelet transform based on B-spline factorization,” in Proceedings of 2003 IEEE Workshop on Signal Processing Systems (SiPS 2003), Seoul, Korea, Aug. 2003
  199. Y. -W. Huang, T. -W. Chen, B. -Y. Hsieh, T. -C. Wang, T. -H. Chang, and L. -G. Chen, “Architecture design for deblocking filter in H.264/JVT/AVC,” in Proceedings of 2003 IEEE International Conference on Multimedia and Expo (ICME 2003), Baltimore, USA, Jul. 2003
  200. H. -C. Fang, T. -C. Wang, and L. -G. Chen, “Novel word-level algorithm of embedded block coding in JPEG 2000,” in Proceedings of 2003 IEEE International Conference on Multimedia and Expo (ICME 2003), Baltimore, USA, Jul. 2003
  201. B. -Y. Hsieh, Y. -W. Huang, T. -C. Wang, S. -Y. Chien, and L. -G. Chen, “Fast motion estimation algorithm for H.264/MPEG-4 AVC by using multiple reference frame skipping criteria,” in Proceedings of 2003 SPIE International Conference on Visual Communications and Image Processing (VCIP 2003), Lausanne, Switzerland, Jul. 2003
  202. S. -Y. Chien, S. -H. Yu, L. -F. Ding, Y. -N. Huang, and L. -G. Chen, “Fast disparity estimation algorithm for mesh-based stereo image/video compression with two-stage hybrid approach,” in Proceedings of 2003 SPIE International Conference on Visual Communications and Image Processing (VCIP 2003), Lausanne, Switzerland, Jul. 2003
  203. P. -J. Lee, M. -J. Chen, and L. -G. Chen, “Error concealment algorithm using interested direction for JPEG 2000 image transmission,” in Proceedings of 2003 IEEE International Conference on Consumer Electronics (ICCE 2003), Los Angeles, USA, Jun. 2003
  204. C. -Y. Chen, S. -Y. Chien, Y. -H. Chen, Y. -W. Huang, and L. -G. Chen, “Unsupervised object-based sprite coding system for tennis sport,” in Proceedings of 2003 IEEE International Conference on Multimedia and Expo (ICME 2003), Baltimore, USA, Jun. 2003
  205. S. -F. Lin, Y. -L. Chang, and L. -G. Chen, “Motion adaptive de-interlacingwith horizontal motion detection and ELA with median,” in Proceedings of 2003 IEEE International Symposium on Circuits and Systems (ISCAS 2003), Bangkok, Thailand, May 2003
  206. T. -H. Tsai, S. -W. Huang, L. -G. Chen, “Design of a low-power psycho-acoustic model co-processor for MPEG-2/4 AAC LC stereo encoder,” in Proceedings of 2003 IEEE International Symposium on Circuits and Systems (ISCAS 2003), Bangkok, Thailand, May 2003
  207. S. -Y. Chien, C. -Y. Chen, W. -M. Chao, Y. -W. Huang, and L. -G. Chen, “Analysis and hardware architecture for global motion estimation and compensation in MPEG-4 advanced simple profile,” accepted by 2003 IEEE International Symposium on Circuits and Systems (ISCAS 2003), Bangkok, Thailand, May 2003
  208. Y. -W. Huang, T. -C. Wang, B. -Y. Hsieh, and L. -G. Chen, “Hardware architecture design for variable block size motion estimation in MPEG-4 AVC/JVT/ITU-T H.264,” in Proceedings of 2003 IEEE International Symposium on Circuits and Systems (ISCAS 2003), Bangkok, Thailand, May 2003
  209. T. -H. Chang, C. -J. Lian, H. -H. Chen, J. -Y. Chang, and L. -G. Chen, “Effective hardware-oriented technique for the rate control of JPEG2000 encoding,” in Proceedings of 2003 IEEE International Symposium on Circuits and Systems (ISCAS 2003), Bangkok, Thailand, May 2003
  210. W. -M. Chao, T. -C. Chen, Y. -C. Chang, C. -W. Hsu, and L. -G. Chen, “Computaionally controllable integer, half, and quarter-pel motion estimator for MPEG-4 advanced simple profile,” in Proceedings of 2003 IEEE International Symposium on Circuits and Systems (ISCAS 2003), Bangkok, Thailand, May 2003
  211. T. -C. Wang, Y. -W. Huang, H. -C. Fang, and L. -G. Chen, “Parallel 4x4 2D transform and inverse transform architecture for MPEG-4 AVC/H.264,” in Proceedings of 2003 IEEE International Symposium on Circuits and Systems (ISCAS 2003), Bangkok, Thailand, May 2003
  212. H. -C. Fang, T. -C. Wang, C. -J. Lian, T. -H. Chang and L. -G. Chen, “High speed memory efficient EBCOT architecture for JPEG2000,” in Proceedings of 2003 IEEE International Symposium on Circuits and Systems (ISCAS 2003), Bangkok, Thailand, May 2003
  213. Y. -W. Huang, B. -Y. Hsieh, T. -C. Wang, S. -Y. Chien, S. -Y. Ma, C. -F. Shen, and L. -G. Chen, “Analysis and reduction of reference frames for motion estimation in MPEG-4 AVC/JVT/H.264,” in Proceedings of 2003 IEEE International Conference on Accoustics, Speech, and Signal Processing (ICASSP2003),, Hong-Kong, Apr. 2003
  214. T. -C. Wang, Y. -W. Huang, H. -C. Fang, and L. -G. Chen, “Performance analysis of hardware oriented algorithm modifications in H.264,” in Proceedings of 2003 IEEE International Conference on Accoustics, Speech, and Signal Processing (ICASSP2003), Hong-Kong, Apr. 2003
  215. H. -C. Fang, T. -C. Wang, Y. W. Chang, and L. -G. Chen, “Hardware oriented rate control algorithm and implementation for realtime video coding,” in Proceedings of 2003 IEEE International Conference on Accoustics, Speech, and Signal Processing (ICASSP2003), Hong-Kong, Apr. 2003
  216. Y. -C. Chang, C. -C. Huang, W. -M. Chao, and L. -G. Chen, “An efficient embedded bitstream parsing processor for MPEG-4 video decoding system,” submitted to 2003 International Symposium on VLSI Technology, Systems, and Applications, 2003
  217. S. -S. Lin, P. -C. Tseng, and L. -G. Chen, “Low-power parallel tree architecture for full-search block-matching motion estimation,” in Proceedings of 2003 SOC Design Conference, Seoul, Korea, 2003
  218. C.T. Huang, P.C. Tseng, and L.G. Chen, “Flipping structure: an efficient VLSI architecture for lifting-based discrete wavelet transform,” to be published in Proc. of 2002 IEEE Asia-Pacific Conference on Circuits and Systems (APPCAS 2002), Singapore, Dec. 2002
  219. P.C. Tseng, C.T. Huang, and L.G. Chen, “Generic RAM-based architecture for two-dimensional discrete wavelet transform with line-based method,” to be published in Proc. of 2002 IEEE Asia-Pacific Conference on Circuits and Systems (APPCAS 2002), Singapore, Dec. 2002
  220. S.Y. Chien, C.Y. Chen, Y.W. Huang, and L.G. Chen, “Efficient sprite generation algorithm with frame skipping and multiple sprites techniques,” in Proc. of Workshop of Consumer Electronics, Dec. 2002
  221. H.C. Fang, T.C. Wang, and L.G. Chen, “Real-time deblocking filter for MPEG-4 systems,” to be published in Proc. of 2002 IEEE Asia-Pacific Conference on Circuits and Systems (APPCAS 2002), Singapore, Nov. 2002
  222. Pei-Jun Lee and Liang-Gee Chen, “Error recovery for MPEG-4 shape and texture information,” to be published in Proc. of 2002 IEEE Asia-Pacific Conference on Circuits and Systems (APPCAS 2002), Singapore, Nov. 2002
  223. T.H. Chang, L.L. Chen, C.J. Lian, H.H. Chen, and L.G. Chen, “Computation reduction technique for lossy JPEG2000 encoding through EBCOT tier-2 feedback processing,” in Proc. of 2002 IEEE International Conference on Image Processing (ICIP 2002), New York, USA, Sept. 2002
  224. S.Y. Chien, C.Y. Chen, W.M. Chao, C.W. Hsu, Y.W. Huang, and L.G. Chen, “A fast and high subjective quality sprite generation algorithm with frame skipping and multiple sprites techniques,” in Proc. of 2002 IEEE International Conference on Image Processing (ICIP 2002), New York, USA, Sept. 2002
  225. S.Y. Chien, Y.W. Huang, B.Y. Hsieh, and L.G. Chen, “Algorithm and architecture of video segmentation hardware system with a programmable PE array,” in Proc. of 2002 IEEE Workshop on Signal Processing Systems (SiPS 2002), Sept. 2002
  226. S.Y. Chien, Y.W. Huang, B.Y. Hsieh, and L.G. Chen, “Single chip video segmentation system with a programmable PE array,” in Proc. of Asia-Pacific Conference on ASIC 2002 (AP-ASIC2002), Taipei, Taiwan, Aug. 2002
  227. Y.W. Huang, B.Y. Hsieh, S.Y. Chien, and L.G. Chen, “Simple and effective algorithm for automatic tracking of a single object using a pan-tilt-zoom camera,” in Proc. of 2002 IEEE International Conference on Multimedia and Expo (ICME 2002), Lausanne, Switzerland, Aug. 2002
  228. S.Y. Chien, C.Y. Chen, Y.W. Huang, and L.G. Chen, “Multiple sprites and frame skipping techniques for sprite generation with high subjective quality and fast speed,” in Proc. of 2002 IEEE International Conference on Multimedia and Expo (ICME 2002), Lausanne, Switzerland, Aug. 2002
  229. C.W. Hsu, W.M. Chao, Y.C. Chang, and L.G. Chen, “Texture coder design of MPEG-4 video by using interleaving schedule,” in Proc. of 2002 IEEE International Conference on Multimedia and Expo (ICME 2002), Lausanne, Switzerland, Aug. 2002
  230. T.C. Wang, H.C. Fang, and L.G. Chen, “Low delay, error robust wireless video transmission architecture for video communication,” in Proc. of 2002 IEEE International Conference on Multimedia and Expo (ICME 2002), Lausanne, Switzerland, Aug. 2002
  231. P.J. Lee and L.G. Chen, “Bit-plane error recovery via cross subband for image transmission in JPEG2000,” in Proc. of 2002 IEEE International Conference on Multimedia and Expo (ICME 2002), Lausanne, Switzerland, Aug. 2002
  232. S.F. Lin, Y.L. Chang, and L.G. Chen, “Motion adaptive interpolation with morphological operation and 3:2 pull-downed recovery for deinterlacing,” in Proc. of 2002 IEEE International Conference on Multimedia and Expo (ICME 2002), Lausanne, Switzerland, Aug. 2002
  233. Y.C. Chang, C.W. Hsu, W.M. Chao, and L.G. Chen, “Architecture design of MPEG-4 FGS encoder,” in Proc. of the 13th VLSI/CAD Symposium, Aug. 2002
  234. Y.C. Chang, W.H. Ji, and L.G. Chen, “A memory-efficient MPEG-4 simple scalable profile decoder with optimized motion compensation,” in Proc. of 3rd Workshop and Exhibition on MPEG-4, Jun. 2002
  235. S. Y. Chien, Y. W. Huang, S. Y. Ma, and L. G. Chen, “Predictive Watershed for Image Sequences Segmentation,” to be published in Proceedings of IEEE 2002 International Conference on Acoustic, Speech, and Signal Processing (ICASSP 2002), Orlando, Florida, May 2002
  236. Y. W. Huang, S. Y. Chien, B. Y. Hsieh, and L. G. Chen, “An Efficient and Low Power Architecture Design for Motion Estimation Using Global Elimination Algorithm,” to be published in Proceedings of IEEE 2002 International Conference on Acoustic, Speech, and Signal Processing (ICASSP 2002), Orlando, Florida, May 2002
  237. W. M. Chao, C. W. Hsu, Y. C. Chang, and L. G. Chen, “A Novel Hybrid Motion Estimator Supporting Diamond Search and Fast Full Search,” to be published in Proceedings of IEEE 2002 International Symposium on Circuits and Systems (ISCAS 2002), Scottsdale, Arizona, May 2002
  238. C. T. Huang, P. C. Tseng, and L. G. Chen, “Efficient VLSI Architectures of Lifting-Based Discrete Wavelet Transform by Systematic Design Method,” to be published in Proceedings of IEEE 2002 International Symposium on Circuits and Systems (ISCAS 2002), Scottsdale, Arizona, May 2002
  239. H. H. Chen, C. J. Lian, T. H. Chang, and L. G. Chen, “Analysis of EBCOT Decoding Algorithm and Its VLSI Implementation for JPEG 2000,” to be published in Proceedings of IEEE 2002 International Symposium on Circuits and Systems (ISCAS 2002), Scottsdale, Arizona, May 2002
  240. T. C. Wang, H. C. Fang, and L. G. Chen, “An UVLC Encoder Architecture for H.26L,” to be published in Proceedings of IEEE 2002 International Symposium on Circuits and Systems (ISCAS 2002), Scottsdale, Arizona, May 2002
  241. S. Y. Chien, Y. W. Huang, and L. G. Chen, “A Hardware Accelerator for Video Segmentation Using Programmable Morphology PE Array,” to be published in Proceedings of IEEE 2002 International Symposium on Circuits and Systems (ISCAS 2002), Scottsdale, Arizona, May 2002
  242. S.Y. Chien, Y.W. Huang, S.Y. Ma, and L.G. Chen, “Predictive watershed for image sequences segmentation,” in Proc. of 2002 IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP 2002), Orlando, Florida, USA, May 2002
  243. Y.W. Huang, S.Y. Chien, B.Y. Hsieh, and L.G. Chen, “An efficient and low power architecture design for motion estimation using global elimination algorithm,” in Proc. of 2002 IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP 2002), Orlando, Florida, USA, May 2002
  244. W.M. Chao, C.W. Hsu, Y.C. Chang, and L.G. Chen, “A novel hybrid motion estimator supporting diamond search and fast full search,” in Proc. of 2002 IEEE International Symposium on Circuits and Systems (ISCAS 2002), Scottsdale, Arizona, USA, May 2002
  245. C.T. Huang, P.C. Tseng, and L.G. Chen, “Efficient VLSI architectures of lifting-based discrete wavelet transform by systematic design method,” in Proc. of 2002 IEEE International Symposium on Circuits and Systems (ISCAS 2002), Scottsdale, Arizona, USA, May 2002
  246. H.H. Chen, C.J. Lian, T.H. Chang, and L.G. Chen, “Analysis of EBCOT decoding algorithm and its VLSI implementation for JPEG 2000,” in Proc. of 2002 IEEE International Symposium on Circuits and Systems (ISCAS 2002), Scottsdale, Arizona, USA, May 2002
  247. T.C. Wang, H.C. Fang, and L.G. Chen, “An UVLC encoder architecture for H.26L,” in Proc. of 2002 IEEE International Symposium on Circuits and Systems (ISCAS 2002), Scottsdale, Arizona, USA, May 2002
  248. S.Y. Chien, Y.W. Huang, and L.G. Chen, “A hardware accelerator for video segmentation using programmable morphology PE array,” in Proc. of 2002 IEEE International Symposium on Circuits and Systems (ISCAS 2002), Scottsdale, Arizona, USA, May 2002
  249. P.C. Wu, C.T. Huang, and L.G. Chen, “An efficient architecture for two-dimensional inverse discrete wavelet transform,” in Proc. of 2002 IEEE International Symposium on Circuits and Systems (ISCAS 2002), Scottsdale, Arizona, USA, May 2002
  250. Y.K. Lai, L.G. Chen, J.Y. Lai, T.M. Parng, “VLSI architecture design and implementation for twofish block cipher,” in Proc. of 2002 IEEE International Symposium on Circuits and Systems (ISCAS 2002), Scottsdale, Arizona, USA, May 2002
  251. Y. W. Huang, S. Y. Chien, B. Y. Hsieh, and L. G. Chen, “Automatic Threshold Decision of Background Registration Technique for Video Segmentation,” in Proceedings of 2002 SPIE International Conference on Visual Communications and Image Processing (VCIP 2002), San Jose, CA, Jan. 2002
  252. P. C. Tseng, C. T. Huang, and L. G. Chen, “VLSI Implementation of Shape-Adaptive Discrete Wavelet Transform,” in Proceedings of 2002 SPIE International Conference on Visual Communications and Image Processing (VCIP 2002), San Jose, CA, Jan. 2002
  253. Y.W. Huang, S.Y. Chien, B.Y. Hsieh, and L.G. Chen, “Automatic threshold decision of background registration technique for video segmentation,” in Proc. of 2002 SPIE International Conference on Visual Communications and Image Processing (VCIP 2002), San Jose, California, Jan. 2002
  254. P.C. Tseng, C.T. Huang, and L.G. Chen, “VLSI implementation of shape-adaptive discrete wavelet transform,” in Proc. of 2002 SPIE International Conference on Visual Communications and Image Processing (VCIP 2002), San Jose, California, Jan. 2002
  255. C. J. Lian, H. C. Chang, K. F. Chen, and L. G. Chen, “A JPEG Decoder IP Core Supporting User-defined Huffman Table Decoding,” 2001 International Symposium on Integrated Circuits, Devices and Systems (ISIC 2001), Marina Mandarin, Singapore, Sept. 2001
  256. S. F. Lin, S. C. Huang, F. S. Yang, C. W. Ku, and L. G. Chen, “An efficient linear-phase FIR filter architecture design for wireless embedded system,” 2001 IEEE Workshop on Signal Processing System (SiPS 2001), Antwerp, Belgium, Sept. 2001
  257. L. G. Chen, C. J. Lian, K. F. Chen, H. H. Chen, “Analysis and Architecture Design of JPEG2000,” 2001 IEEE International Conference on Multimedia and Expo (ICME 2001), Tokyo, Japan, Aug. 2001
  258. Y. C. Chang, C. C. Huang, H. C. Chang, H. C. Fang, L. G. Chen, “Error-Propagation Analysis and Concealment Strategy for MPEG-4 Video Bitstream with Data Partitioning,” 2001 IEEE International Conference on Multimedia and Expo (ICME 2001), Tokyo, Japan, Aug. 2001
  259. S. Y. Chien, Y. W. Huang, S. Y. Ma, L. G. Chen, “Automatic Video Segmentation for MPEG-4 Using Predictive Watershed,” 2001 IEEE International Conference on Multimedia and Expo (ICME 2001), Tokyo, Japan, Aug. 2001
  260. C. T. Huang, P. C. Tseng, and L. G. Chen, “VLSI Implementation of Shape-Adaptive Discrete Wavelet Transform,” 12th VLSI Design/CAD Symposium, Taiwan, Aug. 2001
  261. H. H. Chen, C. J. Lian, K. F. Chen, and L. G. Chen, “Context-based Adaptive Arithmetic Encoder Design for JPEG2000,” 12th VLSI Design/CAD Symposium, Taiwan, Aug. 2001
  262. S. F. Lin, S. C. Huang, F. S. Yang, C. W. Ku, and L. G. Chen, “An Efficient Linear-Phase FIR Filter Architecture Design for Wireless Embedded System,” 12th VLSI Design/CAD Symposium, Taiwan, Aug. 2001
  263. S. Y. Chien, Y. W. Huang, S. Y. Ma, and L. G. Chen, “A Real-time Practical Video Segmentation Algorithm for MPEG-4 Camera Systems,” 2001 International Conference on Consumer Electronics (ICCE 2001), Los Angeles, USA, Jun. 2001
  264. T. C. Wang, P. C. Tseng, and L. G. Chen, “H.26L intra mode encoder architecture for digital camera application,” 2001 International Conference on Consumer Electronics (ICCE 2001), Los Angeles, USA, Jun. 2001
  265. P. C. Tseng, C. K. Chen, and L. G. Chen, “CDSP: An Application-Specific Digital Signal Processor for Third Generation Wireless Communication,” 2001 International Conference on Consumer Electronics (ICCE 2001), Los Angeles, USA, Jun. 2001
  266. P. J. Lee, L. G. Chen, W. J. Wang, and M. J. Chen, “Roust Error Concealment Algorithm for MPEG-4 with the Aid of Fuzzy Theory,” 2001 International Conference on Consumer Electronics (ICCE 2001), Los Angeles, USA., Jun. 2001
  267. S. Y. Ma, C. F. Shen, and L. G. Chen, “An Efficient Motion Estimation Algorithm for Real-Time MPEG-4 Video Encoding on Multimedia Processors,” in Proceedings of 2nd Workshop and Exhibition on MPEG-4, pp. 67-70, Jun. 2001
  268. S. Y. Chien, S. Y. Ma, L. G. Chen, “A Partial-Result-Reuse Architecture and its Design Technique for Morphological Operations,” IEEE 2001 International Conference on Acoustic, Speech, and Signal Processing (ICASSP 2001), Salt Lake City, Utah, May 2001
  269. S. Y. Chien, Y. W. Huang, S. Y. Ma, L. G. Chen, “A hybrid morphology processing units architecture for real-time video segmentation systems,” 2001 IEEE International Symposium on Circuits and Systems (ISCAS 2001), Sydney, Australia, May 2001
  270. K. F. Chen, C. J. Lian, H. H. Chen, L. G. Chen, “Analysis and architecture design of EBCOT for JPEG-2000,” 2001 IEEE International Symposium on Circuits and Systems (ISCAS 2001), Sydney, Australia, May 2001
  271. H. C. Chang, Z. L. Yang, C. J. Lian, L. G. Chen, “Hardware-efficient architecture design of tree-depth scanning and multiple quantization scheme for MPEG-4 still texture coding,” 2001 IEEE International Symposium on Circuits and Systems (ISCAS 2001), Sydney, Australia, May 2001
  272. C. J. Lian, K. F. Chen, H. H. Chen, L. G. Chen, “Lifting based discrete wavelet transform architecture for JPEG2000,” 2001 IEEE International Symposium on Circuits and Systems (ISCAS 2001), Sydney, Australia, May 2001
  273. M. Y. Hsu, H. C. Chang, Y. C. Wang, L. G. Chen, “Scalable module-based architecture for MPEG-4 BMA motion estimation,” 2001 IEEE International Symposium on Circuits and Systems (ISCAS 2001), Sydney, Australia, May 2001
  274. C. J. Lian, L. G. Chen, H. C. Chang, and Y. C. Chang, “Design and Implementation of JPEG Encoder IP Core,” Asia and South Pacific Design Automation Conference (ASP-DAC 2001), Yokohama, Japan, Jan. 2001
  275. Y. C. Wang, H. C. Chang, and L. G. Chen, “Efficient Architecture of Binary Motion Estimation for MPEG-4 Shape Coding,” in Proc. SPIE International Conference on Visual Communications and Image Processing (VCIP 2001), San Jose, CA, Jan. 2001
  276. Y. W. Huang, S. Y. Chien, S. Y. Ma, and L. G. Chen, “Analysis of Global Motion Effects on Video Segmentation,” 2000 Asia Pacific Conference on Multimedia Technology and Applications (APCMTA 2000), Kaohsiung, Taiwan, R.O.C, Dec. 2000
  277. S. Y. Ma, S. Y. Chien, and L. G. Chen, “An Efficient Moving Object Segmentation for MPEG-4 Encoding Systems,” 2000 IEEE International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS 2000), Honolulu, Hawaii, U.S.A, Nov. 2000
  278. H. C. Chang, Y. C. Wang, M. Y. Hsu and L. G. Chen, “Efficient Algorithms and Architectures for MPEG-4 Object-based Video Coding,” 2000 IEEE Workshop on Signal Processing System (SiPS 2000), Lafayette, Louisiana, Oct. 2000
  279. Y. C. Wang, H. C. Chang, and L. G. Chen, “Efficient design of binary motion estimation for MPEG-4 shape coding,” 11th VLSI Design/CAD Symposium, Taiwan, Aug. 2000
  280. S. F. Lin, W. H. Chi, and L. G. Chen, “Virtual component model verification and hierarchical controller distribution strategy for system-on-chip design – MPEG2 video decoder as an example,” 11th VLSI Design/CAD Symposium, Taiwan, Aug. 2000
  281. R. X. Chen and L. G. Chen, “Validation of embedded DSP system with reconfigurable interface reaction models,” 11th VLSI Design/CAD Symposium, Taiwan, Aug. 2000
  282. P. C. Tseng, C. K. Chen, and L. G. Chen, “CDSP: A 16-bit digital signal processor for 3G wireless communications,” 11th VLSI Design/CAD Symposium, Taiwan, Aug. 2000
  283. C. Y. Chen, T. C. Wang, and L. G. Chen, “A Programmable VLSI Architecture for 2D Discrete Wavelet Transform,” 2000 IEEE International Symposium on Circuits and Systems (ISCAS 2000),, Geneva, Swiss, May 2000
  284. H. C. Chang, L. G. Chen, M. Y. Hsu and Y. C. Chang, “Performance Analysis and Architecture Evaluation of MPEG-4 Video Codec System,” 2000 IEEE International Symposium on Circuits and Systems (ISCAS 2000), Geneva, Swiss, May 2000
  285. H. C. Chang, Y. C. Chang and L. G. Chen, “MPEG-4 Video Bitstream Structure Analysis and Its Parsing Architecture Design,” 2000 IEEE International Symposium on Circuits and Systems (ISCAS 2000), Geneva, Swiss, May 2000
  286. S. Y. Chien, S. Y. Ma, and L. G. Chen, “An Efficient Video Segmentation Algorithm for Real-time MPEG-4 Camera System,” in Proceedings of Visual Communication and Image Processing (VCIP 2000), 2000
  287. R. M. Weng, L. G. Chen, and M. H. Lee, “Synthesis of Cascadable Nth-Order Current-Mode Lowpass Filters Using CCII+s,” 1999 IEEE International symposium on Intelligent Signal Processing and Communication Systems (ISPACS’99), Thailand,, Dec. 1999
  288. T. H. Tsai and L. G. Chen, “A novel inverse quantization and multichannel processing architecture for MPEG-2 audio applications,” 1999 IEEE International symposium on Intelligent Signal Processing and Communication Systems (ISPACS’99), Thailand, Dec. 1999
  289. C. W. Ku, C. K. Chen, L. G. Chen, and F. Y. Kuo, “Low Power Strategy about Correlator Array for CDMA Baseband Processor,” 1999 IEEE Workshop on Signal Processing Systems (SiPS'99), Taipei, Taiwan, Oct. 1999
  290. T. H. Tsai, L. G. Chen, and R. J. Wu, “A Cost-Effective Design for MPEG2 Audio Decoder with Embedded RISC Core,” 1999 IEEE Workshop on Signal Processing Systems (SiPS'99), Taipei, Taiwan, Oct. 1999
  291. C. W. Ku, F. Y. Kuo, C. K. Chen and L. G. Chen, “Low Powered Multi-Code Correlation Architecture for IMT-2000,” 10th International Symposium on Personal, Indoor and Mobile Radio Communications, Osaka, Sept. 1999
  292. H. C. Chang, L. G. Chen, C. J. Lian, Y. C. Chang, and L.L. Chen, “IP Design of a Re-configurable Baseline JPEG Coding,” The First IEEE Asia Pacific Conference on ASICs, Seoul, Korea, Aug. 1999
  293. R. X. Chen and L. G. Chen, “Design and Implementation of FPGA Wheelchair controller,” 10th VLSI Design/CAD Symposium, Taiwan, Aug. 1999
  294. H. C. Chang and L. G. Chen, “Efficient architecture design for MPEG-4 video shape coding,” 10th VLSI Design/CAD Symposium, Taiwan, Aug. 1999
  295. T. C. Wang and L. G. Chen, “Low power motion estimation architecture and implementation,” 10th VLSI Design/CAD Symposium, Taiwan, Aug. 1999
  296. S. Y. Ma, C. K. Chen, S. Y. Chien, and L. G. Chen, “Moving object segmentation algorithm and camera-on-a-chip system,” 10th VLSI Design/CAD Symposium, Taiwan, Aug. 1999
  297. T. H. Tsai, L. G. Chen, and R. J. Wu, “A System Level Integration Methodology for MPEG-2 Audio Decoder with Embedded RISC core,” International Symposium on VLSI-TSA, Taipei, Taiwan, Jun. 1999
  298. P. C. Wu, and L. G. Chen, “High-performance architecture design for two-dimensional discrete wavelet transform,” International Symposium on VLSI-TSA, Taipei, Taiwan., Jun. 1999
  299. S. C. Huang and L. G. Chen, “A Log-Exp Still Image Compression Chip Design,” 1999 International Conference on Consumer Electronics, Los Angeles, USA, Jun. 1999
  300. H. C. Chang, L. G. Chen, Y. C. Chang, and S. C. Huang, “A VLSI architecture design of VLC encoder for high data rate video/image coding,” 1999 International Symposium on Circuits and Systems (ISCAS'99), Florida USA, May 1999
  301. S. C. Huang, L. G. Chen, and, H. C. Chang, “A novel image compression algorithm by using LOG-EXP transform,” 1999 International Symposium on Circuits and Systems (ISCAS'99), Florida USA, May 1999
  302. J. F. Shen, L. G. Chen, H. C. Chang, and C. J. Lian, “Low power full-search block-matching motion estimation chip for H.263+ video coding,” 1999 International Symposium on Circuits and Systems (ISCAS'99), Florida USA, May 1999
  303. S. Y. Ma, and L. G. Chen, “A single chip CMOS APS camera with direct frame difference output,” Custom Integrated Circuits Conference (CICC'99), California USA, May 1999
  304. H. C. Chang and L. G. Chen, “An efficient modeling architecture for real-time Content-based Arithmetic Coding,” in Proc. SPIE International Conference on Visual Communications and Image Processing (VCIP'99), San Jose, CA, Jan. 1999

Books:

  1. Yu-Han Chen, Liang-Gee Chen, “Video Compression,” in “Handbook of Signal Processing Systems”, S.S. Bhattacharyya et al, Springer Science+Business Media, 2013, ISBN:978-1-4614-6859-2
  2. Ching-Yen Chien, Sheng-Chieh Hunag, Chia-Ho Pan, and Liang-Gee Chen, “Full HD JPEG XR Encoder Design for Digital Photography Applications,” Chapter in the book "VLSI" edited by Zhong Feng Wang, published by In-Teh, 99-114 pages, 2010, ISBN:978-953-307-049-0
  3. T.-C. Chen, C.-J. Lian, Y.-W. Huang, and L.-G. Chen, “H.264/AVC Video Codec Design: A Hardwired Approach,” Handbook of Mobile Broadcasting : DVB-H, DMB, ISDB-T, and MediaFLO, B. Furht and S. Ahson, Eds. USA: CRC Press, Taylor & Francis, 169-212 pages, 2008, ISBN:-13: 978-1-4200-5386-9
  4. Liang-Gee Chen, Chao-Tsung Huang, Ching-Yeh Chen and Chih-Chi Cheng, “VLSI DESIGN OF WAVELET TRANSFORM, Analysis, Architecture, and Design Examples,” Imperial College Press, UK, 2007, ISBN:1-86094-673-9
  5. L.-G. Chen, C.-J. Lian, C.-Y. Chen, and T.-C. Chen, “Chapter 3: Multimedia IP Development - Image and Video Codecs,” Essential Issues in SOC Design, Designing Complex Systems-on-Chip (Youn-Long Steve Lin, Ed.), (Springer), 2007, ISBN:-10: 1-4020-5351-7
  6. C. W. Ku, F. Y. Kuo, L. G. Chen and C.K. Chen, “Low Powered Multi-Code Correlator for IMT-2000,” edited by Raymond Steele, John Wiley published, Chapter in pages, 1999
  7. L. G. Chen, H. M. Hang, and I. Kuroda, “1999 IEEE Workshop on Signal Processing Systems (SiPS’99)-Design and Implementation,” IEEE Press, 1999, ISBN:0-7803-5650-0
  8. E. S. Monolakos, A. Chandrakasan, L.-G. Chen, W. P. Burleson, and K. Konstantinides, “1998 IEEE Workshop on Signal Processing Systems (SiPS' 98)-Design and Implementation,” IEEE Press, 1998, ISBN:0-7803-4997-0
  9. L.-G. Chen and C.-W. Ku, “Multimedia visual telephone system,” chapter in the book “Multimedia Technology for Applications” edited by B. Sheu and M. Ismail, IEEE Press,, 1997
  10. T.-A. Michel, and L.-G. Chen, “A real-time decoder for the scene adaptive video coding system,” AT&T Bell 11224-941014-01TM, Work Project No. 311402-2399, File case 38794-43, Technical Memorandum, 1994
  11. Y.-S. Jehng, L.-G. Chen, T.-D. Chiueh, W. Chen, and H.-M. Jong, “Pipeline interleaving design for FIR, IIR, and FFT,” in Section: CAD for DSP of VLSI Logic Synthesis and Design, IOS Press, Edited by Robert W. Dutton,, Netherlands, 1991, ISBN:90-51990460
  12. L.-G. Chen, L.-G. Jeng, K.-T. Chao, D.-J. Lin, and C.-T. Chao, “CAD system for an application-specific DSP processor design,” in Section: CAD for DSP of VLSI Logic Synthesis and Design, Edited by Robert W. Dutton, IOS Press, Netherlands, 1991, ISBN:90-51990460
  13. T.-C. Chen, K. Chen, W. Liu, and L.-G. Chen, “Design and Implementation of Leading Eigenvector Generator for On-chip Principal Component Analysis Spike Sorting System,” Recent Advances in Biomedical Engineering, to appear, ISBN:978-953-7619-57-2

Patents:

  1. Liang-Gee Chen Tien-Ju Yang, Yi-Min Tsai, “nformation sharing method and module, device and electronic product using the same,” US 20130114807 A1, May 2013
  2. 陳良基, 鄭朝鐘, 李宗德, 黃鈴琇, “立體深度資訊的產生系統及產生方法,” CN101751664B, Apr. 2013
  3. 陳良基,曹友銘,簡韶逸, “針對數位訊號處理之串流式處理系統及方法,” No. I390442, Taiwan ROC, Mar. 2013
  4. Liang-Gee Chen, Yu-Lin Chang, Yi-Min Tsai, Chao-Chung Cheng, “Driving support system with plural dimension processing units,” US 8,213,683 B2, Jul. 2012
  5. Liang-Gee Chen, Wan-Yu Chen, Yu-Lin Chang, Chao-Chung Cheng, “Auto Depth Field Capturing System And Method Thereof,” US 8,179,448 B2, May 2012
  6. You-Ming Tsao, Liang-Gee Chen, Shao-Yi Chien, “Stream Processing System Having A Reconfigurable Memory Module,” US 8,086,824 B2, Dec. 2011
  7. You-Ming Tsao, Liang-Gee Chen, Shao-Yi Chien, “Multi-core Stream Processor Having (N) Processing Units And (N+1) Fetching Units,” US 8,037,283 B2, Oct. 2011
  8. 陳良基, 張靖瑩, 張毓麟, “一種分析影像以形成行為語句之方法與架構/ Method and architecture for analyzing video to form behavior sentences,” No.I345728, Taiwan, ROC, Jul. 2011
  9. Liang Gee Chen, Chao Tsung Huang, Ching Yeh Chen, Yi Hau Chen, “Data Reuse Method For Blocking Matching Motion Estimation,” US 7,865,026 B2, Jan. 2011
  10. 潘佳河, 李逸先, 陳良基, “數據及圖像編碼之方法與系統/Method and System for data and video coding,” ZL 200510130537.0, 中國大陸, May 2010
  11. Liang-Gee Chen, Wan-Yu Chen, Yu-Ling Chang, “Single lens auto focus system for stereo image generation and method thereof,” US. 7,616,885 B2, Nov. 2009
  12. 陳良基,陳菀瑜,張毓麟, “用於立體影像產生之單鏡頭自動對焦系統及其方法,” No. I314832, Taiwan, ROC, Sept. 2009
  13. 陳良基, 黃朝宗, 陳慶曄, 陳翊豪, “用於移動估計的等級C+資料重覆使用架構/ Level C+ Data Reuse Scheme for Motion Estimation,” No. 1272548, Taiwan ROC, Feb. 2007
  14. Liang-Gee Chen, Shyh-Feng Lin, Patrick Chou, Yu-Lin Chang, and Ryan Chen, “Four-field motion adaptive de-interlacing,” US 7,129,989, Oct. 2006
  15. Liang-Gee Chen, Chao-Tsung Huang, Po-Chih Tseng, “Flipping algorithm to architectures of hardware realization for lifting-based DWT,” US. 7,076,515, Jul. 2006
  16. 陳良基, 方弘吉, 張育瑋, “一種用於JPEG2000中的前壓縮位元-失真最佳化方法,” No.1288367, Taiwan ROC, Jan. 2006
  17. 陳良基, 張毓麟, 林世豐, 陳坤洲, “全域及局部適應性圖場去交錯系統與方法,” No. 234390, Taiwan ROC, Jun. 2005
  18. 陳良基, 方弘吉, 張育瑋, “平行化嵌入式方塊編碼器及其編碼方法,” No. 1246255, Taiwan ROC, 2005
  19. 陳良基, 林世豐, 周柏超, 張毓麟, 陳右宜, “四圖場動像調適性解交錯,” No. 224925, Taiwan, Dec. 2004
  20. 陳良基, 黃朝宗, 曾博志, “用於提昇式離散小波轉換硬體實現之翻轉式演算法及其硬體架構,” No. 200668, Taiwan ROC, Apr. 2004
  21. Liang-Gee Chen, Hung-Chi Fang, Yu-Wei Chang, Tu-Chih Wang, Ya-Yun Shih, “Architecture and method for parallel embedded block coding,” US. 7,450,770 B2, Dec. 2003
  22. 陳良基, 王度智, “單一可變長度編碼器架構,” no. 184663, Taiwan ROC, Aug. 2003
  23. L.-G. Chen, P.-C. Wu, Y.-C. Liu, Y. K. Lai;, “Architecture for performing two-dimensional discrete wavelet transform,” US. 6,587,589, Jul. 2003
  24. 陳良基, 黃毓文, 簡韶逸, “用於移動估計的全域消除演算法及其硬體架構設計,” no. 177013, Taiwan ROC, Apr. 2003
  25. L. -G. Chen and T. -C. Wang, “Universal variable code (UVLC) encoder architecture,” US. 6,542,095B1, Apr. 2003
  26. 陳良基, 馬仕逸, 簡韶逸, “從一系列視訊畫面切割其中移動物件形狀的方法,” no. 175447, Taiwan ROC, Feb. 2003
  27. 陳良基, 吳柏成, “二維離散波元轉換之硬體架構,” No.160548, Taiwan ROC, Aug. 2002
  28. L.-G. Chen, T.-H. Tsai, R.-J. Wu, “Method of degrouping a codeword in MPEG-II audio decoding by iterative addition and subtraction,” US. 6,370,501, Apr. 2002
  29. L. G. Chen and S. Y. Ma, “CMOS Active Pixel Sensor,” US. 6,215,113, Apr. 2001
  30. 陳良基, 蔡宗漢, “適用於MPEG-II音頻訊號解碼之逆量化與多聲道處理之硬體架構及組成,” No. 130598, Taiwan ROC, Mar. 2001
  31. L.-G. Chen, T.-H. Tsai, Y.-C. Liu,, “Synthesis subband filter in MPEG-II audio decoding,,” US. 6,199,039, Mar. 2001
  32. L. G. Chen and T. H. Tsai, “Architecture for inverse quantization and multichannel processing in MPEG-II audio decoding,” US. 6,166,663, Dec. 2000
  33. L. G. Chen, Y. K. Lai, and Y. P. Lee, “Motion estimator employing a three-step hierarchical search block-matching algorithm,” US. 6,160,850, Dec. 2000
  34. L. G. Chen, Y. C. Liu, Y. P. Lee, P. C. Wu, and H. T. Chen, “Methods for compressing and re-constructing a color image in a computer system,” US. 6,151,409, Nov. 2000
  35. 陳良基, 劉遠禎, 李永斌, 吳柏成, 陳旭東, “於一電腦系統中壓縮彩色圖像及重建被壓縮彩色圖像的方法,” No. 122435, Taiwan ROC, Nov. 2000
  36. L.-G. Chen, Y.-C. Liu, Y.-P. Lee, P.-C. Wu, and H.-T. Chen, “Methods for compressing and re-constructing a color image in a computer system,” US. 6,151,409, Nov. 2000
  37. L. G. Chen, Y. K. Lai, Y. C. Liu, and Y. P. Lee, “Array architecture with data-rings for 3-step hierarchical search block matching algorithm,” US. 6,118,901, Sept. 2000
  38. C. Y. Yang, S. I. Liu, and L. G. Chen, “High-frequency CMOS dual/multi modules prescaler,” US. 6,094,466, Jul. 2000
  39. 陳良基, 馬仕毅, “CMOS主動像素感應器,” No. 117230, Taiwan ROC, Jul. 2000
  40. 陳良基, 李永斌, 顧中威, 劉遠禎, “利用直接式的高輸出量與高度規則的二維8乘8離散餘弦轉換/反離散餘弦轉換之架構,” No. 118022, Taiwan ROC, Jun. 2000
  41. 劉深淵, 楊清淵, 陳良基, “高頻互補式金氧半雙模\多模前置分頻器,” No. 114359, Taiwan ROC, Apr. 2000
  42. 陳良基, 蔡宗漢, “於MPEG–II音頻訊號解碼中合成次頻帶濾波器的方法,” No. 110857, Taiwan ROC, Jan. 2000
  43. 陳良基, 賴永康, 李永斌, “應用三步驟階層式搜尋區塊比對法之移動估計器,” No. 110352, Taiwan ROC, Dec. 1999
  44. 陳良基, 蔡宗漢, 吳仁智, “在一電腦系統中對一字碼解群組的方法,” No. 107015, Taiwan ROC, Aug. 1999
  45. 陳良基, 賴永康, 劉遠禎, 李永斌, “針對三步驟階搜尋區塊比對演算法之資料環陣列架構,” No. 102678, Taiwan ROC, May 1999
  46. Liang-Gee Chen, Hung-Chi Fang, Yu-Wei Chang, “Pre-compression rate-distortion optimization method for JPEG2000,” US. 7,450,771 B2

other:

  1. 8. Yi-Min Tsai, Keng-Yen Huang, H. T. Kung, Dario Vlah, Youngjune L. Gwon, and Liang-Gee Chen, “A Chip Architecture for Compressive Sensing Based Detection of IC Trojans,” Oct. 2012, in IEEE Workshop on Signal Processing Systems (SiPS)
  2. S.-S. Lin, P.-C. Tseng, C.-P. Lin, and L.-G. Chen,, “Content-aware diversity-based motion estimation algorithm,” Oct. 2004, 2003 IEEE Workshop on Signal Processing Systems (SiPS 2004), Austin, Texas, USA
  3. Y.-C. Chang, W.-M. Chao, and L.-G. Chen, “Platform-based MPEG-4 video encoder SOC design,” Oct. 2004, 2003 IEEE Workshop on Signal Processing Systems (SiPS 2004), Austin, Texas, USA
  4. C.-Y. Chen, S.-Y Chien, W. M. Chao, Y.-W. Huang, and L.-G. Chen, “Analysis of global motion estimation and compensation in MPEG-4 advanced simple profile,” Dec. 2003, in Proceedings of Workshop on Consumer Electronics, Tainan, Taiwan
  5. P. -C. Tseng, C. -T. Huang, and L. -G. Chen, “Reconfigurable discrete wavelet transform architecture for advanced multimedia systems,” Aug. 2003, Proceedings of 2003 IEEE Workshop on Signal Processing Systems (SiPS 2003), Seoul, Korea
  6. P. -C. Tseng and L. -G. Chen, “Perspectives of multimedia SoC,” Aug. 2003, Proceedings of 2003 IEEE Workshop on Signal Processing Systems (SiPS 2003), Seoul, Korea
  7. C. -T. Huang, P. -C. Tseng, and L. -G. Chen, “VLSI architecture for discrete wavelet transform based on B-spline factorization,” Aug. 2003, Proceedings of 2003 IEEE Workshop on Signal Processing Systems (SiPS 2003), Seoul, Korea
  8. S.Y. Chien, C.Y. Chen, Y.W. Huang, and L.G. Chen, “Efficient sprite generation algorithm with frame skipping and multiple sprites techniques,” Dec. 2002, Proc. of Workshop of Consumer Electronics
  9. S.Y. Chien, Y.W. Huang, B.Y. Hsieh, and L.G. Chen, “Algorithm and architecture of video segmentation hardware system with a programmable PE array,” Sept. 2002, Proc. of 2002 IEEE Workshop on Signal Processing Systems (SiPS 2002)
  10. Y.C. Chang, W.H. Ji, and L.G. Chen, “A memory-efficient MPEG-4 simple scalable profile decoder with optimized motion compensation,” Jun. 2002, Proc. of 3rd Workshop and Exhibition on MPEG-4
  11. S. F. Lin, S. C. Huang, F. S. Yang, C. W. Ku, and L. G. Chen, “An efficient linear-phase FIR filter architecture design for wireless embedded system,” Sept. 2001, 2001 IEEE Workshop on Signal Processing System (SiPS 2001), Antwerp, Belgium
  12. S.-Y. Ma, C.-F. Shen, and L.-G. Chen, “An efficient motion estimation algorithm for real-time MPEG-4 video encoding on multimedia processors,” Jun. 2001, in Proceedings of 2nd Workshop and Exhibition on MPEG-4, pp. 67-70
  13. H. C. Chang, Y. C. Wang, M. Y. Hsu and L. G. Chen, “Efficient Algorithms and Architectures for MPEG-4 Object-based Video Coding,” Oct. 2000, 2000 IEEE Workshop on Signal Processing System (SiPS 2000), Lafayette, Louisiana
  14. C. W. Ku, C. K. Chen, L. G. Chen, and F. Y. Kuo, “Low Power Strategy about Correlator Array for CDMA Baseband Processor,” Oct. 1999, IEEE Workshop on Signal Processing Systems (SiPS'99), Taipei, Taiwan
  15. T. H. Tsai, L. G. Chen, and R. J. Wu, “A Cost-Effective Design for MPEG2 Audio Decoder with Embedded RISC Core,” Oct. 1999, 1999 IEEE Workshop on Signal Processing Systems (SiPS'99), Taipei, Taiwan